Digital storage
More general concepts than this:
More specific concepts than this:
- Sort by:
- Newest first
- Titles A to Z
Filter by subject:
- Electrical and electronic engineering [197]
- Components, electron devices and materials [197]
- Computer and control engineering [197]
- Computer hardware [197]
- Computer storage equipment and techniques [197]
- Digital storage [197]
- Semiconductor storage [197]
- Semiconductor materials and technology [185]
- Circuit theory and circuits [183]
- Electronic circuits [183]
- [182]
- http://iet.metastore.ingenta.com/content/subject/b1265d,http://iet.metastore.ingenta.com/content/subject/b2570,http://iet.metastore.ingenta.com/content/subject/b2570d,http://iet.metastore.ingenta.com/content/subject/b2560,http://iet.metastore.ingenta.com/content/subject/c5100,http://iet.metastore.ingenta.com/content/subject/b2570a,http://iet.metastore.ingenta.com/content/subject/b1265a,http://iet.metastore.ingenta.com/content/subject/c5200,http://iet.metastore.ingenta.com/content/subject/b0000,http://iet.metastore.ingenta.com/content/subject/b1265b,http://iet.metastore.ingenta.com/content/subject/b2550,http://iet.metastore.ingenta.com/content/subject/c5120,http://iet.metastore.ingenta.com/content/subject/b0100,http://iet.metastore.ingenta.com/content/subject/b0170,http://iet.metastore.ingenta.com/content/subject/b2560s,http://iet.metastore.ingenta.com/content/subject/b2570f,http://iet.metastore.ingenta.com/content/subject/b6000,http://iet.metastore.ingenta.com/content/subject/c5210,http://iet.metastore.ingenta.com/content/subject/c7000,http://iet.metastore.ingenta.com/content/subject/c7400,http://iet.metastore.ingenta.com/content/subject/b2100,http://iet.metastore.ingenta.com/content/subject/b2560r,http://iet.metastore.ingenta.com/content/subject/c7410,http://iet.metastore.ingenta.com/content/subject/b6100,http://iet.metastore.ingenta.com/content/subject/b0170n,http://iet.metastore.ingenta.com/content/subject/c5260,http://iet.metastore.ingenta.com/content/subject/c7410d,http://iet.metastore.ingenta.com/content/subject/b0200,http://iet.metastore.ingenta.com/content/subject/b1265f,http://iet.metastore.ingenta.com/content/subject/b2800,http://iet.metastore.ingenta.com/content/subject/c1000,http://iet.metastore.ingenta.com/content/subject/a,http://iet.metastore.ingenta.com/content/subject/a8000,http://iet.metastore.ingenta.com/content/subject/a8600,http://iet.metastore.ingenta.com/content/subject/a8620,http://iet.metastore.ingenta.com/content/subject/a8620w,http://iet.metastore.ingenta.com/content/subject/b1100,http://iet.metastore.ingenta.com/content/subject/b2550r,http://iet.metastore.ingenta.com/content/subject/c1100,http://iet.metastore.ingenta.com/content/subject/b1130,http://iet.metastore.ingenta.com/content/subject/b1130b,http://iet.metastore.ingenta.com/content/subject/b2200,http://iet.metastore.ingenta.com/content/subject/c5130,http://iet.metastore.ingenta.com/content/subject/c5220,http://iet.metastore.ingenta.com/content/subject/c5310,http://iet.metastore.ingenta.com/content/subject/b1210,http://iet.metastore.ingenta.com/content/subject/b2120,http://iet.metastore.ingenta.com/content/subject/b2230,http://iet.metastore.ingenta.com/content/subject/b2230f,http://iet.metastore.ingenta.com/content/subject/b2570b,http://iet.metastore.ingenta.com/content/subject/b2860,http://iet.metastore.ingenta.com/content/subject/b2860f,http://iet.metastore.ingenta.com/content/subject/c4000,http://iet.metastore.ingenta.com/content/subject/b0240,http://iet.metastore.ingenta.com/content/subject/b0500,http://iet.metastore.ingenta.com/content/subject/b2530,http://iet.metastore.ingenta.com/content/subject/b2560b,http://iet.metastore.ingenta.com/content/subject/b2570h,http://iet.metastore.ingenta.com/content/subject/b6120,http://iet.metastore.ingenta.com/content/subject/b6140,http://iet.metastore.ingenta.com/content/subject/b7000,http://iet.metastore.ingenta.com/content/subject/c1140,http://iet.metastore.ingenta.com/content/subject/c5400,http://iet.metastore.ingenta.com/content/subject/c6000,http://iet.metastore.ingenta.com/content/subject/c6100,http://iet.metastore.ingenta.com/content/subject/b0240g,http://iet.metastore.ingenta.com/content/subject/b1265h,http://iet.metastore.ingenta.com/content/subject/b1295,http://iet.metastore.ingenta.com/content/subject/b2130,http://iet.metastore.ingenta.com/content/subject/b2810,http://iet.metastore.ingenta.com/content/subject/c0000,http://iet.metastore.ingenta.com/content/subject/c1140g,http://iet.metastore.ingenta.com/content/subject/c4200,http://iet.metastore.ingenta.com/content/subject/c5110,http://iet.metastore.ingenta.com/content/subject/c5190,http://iet.metastore.ingenta.com/content/subject/c5260b,http://iet.metastore.ingenta.com/content/subject/c5340,http://iet.metastore.ingenta.com/content/subject/e,http://iet.metastore.ingenta.com/content/subject/b0170e,http://iet.metastore.ingenta.com/content/subject/b0520,http://iet.metastore.ingenta.com/content/subject/b1220,http://iet.metastore.ingenta.com/content/subject/b2550f,http://iet.metastore.ingenta.com/content/subject/b2560h,http://iet.metastore.ingenta.com/content/subject/b6120b,http://iet.metastore.ingenta.com/content/subject/b6140c,http://iet.metastore.ingenta.com/content/subject/b6200,http://iet.metastore.ingenta.com/content/subject/b7200,http://iet.metastore.ingenta.com/content/subject/b8000,http://iet.metastore.ingenta.com/content/subject/c4210
- b1265d,b2570,b2570d,b2560,c5100,b2570a,b1265a,c5200,b0000,b1265b,b2550,c5120,b0100,b0170,b2560s,b2570f,b6000,c5210,c7000,c7400,b2100,b2560r,c7410,b6100,b0170n,c5260,c7410d,b0200,b1265f,b2800,c1000,a,a8000,a8600,a8620,a8620w,b1100,b2550r,c1100,b1130,b1130b,b2200,c5130,c5220,c5310,b1210,b2120,b2230,b2230f,b2570b,b2860,b2860f,c4000,b0240,b0500,b2530,b2560b,b2570h,b6120,b6140,b7000,c1140,c5400,c6000,c6100,b0240g,b1265h,b1295,b2130,b2810,c0000,c1140g,c4200,c5110,c5190,c5260b,c5340,e,b0170e,b0520,b1220,b2550f,b2560h,b6120b,b6140c,b6200,b7200,b8000,c4210
- [181],[142],[79],[38],[34],[33],[28],[28],[27],[21],[18],[18],[17],[14],[14],[14],[14],[14],[14],[13],[12],[12],[12],[11],[10],[10],[10],[9],[9],[9],[9],[8],[8],[8],[8],[8],[8],[8],[8],[7],[7],[7],[7],[7],[7],[6],[6],[6],[6],[6],[6],[6],[6],[5],[5],[5],[5],[5],[5],[5],[5],[5],[5],[5],[5],[4],[4],[4],[4],[4],[4],[4],[4],[4],[4],[4],[4],[4],[3],[3],[3],[3],[3],[3],[3],[3],[3],[3],[3]
- /search/morefacet;jsessionid=19r0rq9uk92a0.x-iet-live-01
- /content/searchconcept;jsessionid=19r0rq9uk92a0.x-iet-live-01?operator4=AND&operator5=AND&pageSize=50&sortDescending=true&value5=c5000&facetNames=pub_concept_facet+pub_concept_facet+pub_concept_facet+pub_concept_facet+pub_concept_facet&value3=b2000&value4=c5320g&value1=c5320&option5=pub_concept_facet&value2=b&facetOptions=2+3+4+5+6&option1=pub_concept&option2=pub_concept_facet&option3=pub_concept_facet&option4=pub_concept_facet&sortField=prism_publicationDate&operator3=AND&operator2=AND&operator6=AND&option6=pub_concept_facet&value6=
- See more See less
Filter by content type:
Filter by publication date:
- 2013 [10]
- 2016 [9]
- 1991 [7]
- 1997 [7]
- 2012 [6]
- 2019 [6]
- 1992 [5]
- 1995 [5]
- 1998 [5]
- 2004 [5]
- 2006 [5]
- 2015 [5]
- 2017 [5]
- 2018 [5]
- 1976 [4]
- 1979 [4]
- 1985 [4]
- 1990 [4]
- 1993 [4]
- 2005 [4]
- 2007 [4]
- 2010 [4]
- 2011 [4]
- 1972 [3]
- 1977 [3]
- 1983 [3]
- 1989 [3]
- 1994 [3]
- 1996 [3]
- 2003 [3]
- 2008 [3]
- 2009 [3]
- 2014 [3]
- 1973 [2]
- 1978 [2]
- 1982 [2]
- 1986 [2]
- 1987 [2]
- 1999 [2]
- 2000 [2]
- 2001 [2]
- 1969 [1]
- 1975 [1]
- 1981 [1]
- 1988 [1]
- 2002 [1]
- See more See less
Filter by author:
- B.R. Wilkins [3]
- L.G. Johnson [3]
- P.M. Carter [3]
- R.M. Lea [3]
- S.M.S. Jalaleddine [3]
- A. Thiede [2]
- A.A.M. Amin [2]
- Aminul Islam [2]
- B.Z. Antov [2]
- C. Maglaras [2]
- C. Toumazou [2]
- Chunyu Peng [2]
- E. Bushehri [2]
- E.K.F. Lee [2]
- G. Ghibaudo [2]
- H. Chen [2]
- J. Kim [2]
- J.-O. Klein [2]
- J.S. Choi [2]
- Jiexin Luo [2]
- Jing Chen [2]
- L.I. Popova [2]
- N.C. Battersby [2]
- O. Kim [2]
- P.C. Liu [2]
- P.G. Gulak [2]
- P.K. Vitanov [2]
- S. Choi [2]
- Soumitra Pal [2]
- T. Hayashi [2]
- V. Avendaño [2]
- V.H. Champac [2]
- Vivek Gupta [2]
- Weiwei He [2]
- Wing Hung Ki [2]
- Xi Wang [2]
- Xiulong Wu [2]
- Xuan Li [2]
- Y. Kawazu [2]
- Zhan Chai [2]
- Zhiting Lin [2]
- A. Alexandropoulos [1]
- A. Bergemont [1]
- A. Colquhoun [1]
- A. Daly [1]
- A. Dandapat [1]
- A. Dubey [1]
- A. Goel [1]
- A. Isah [1]
- A. Iwabu [1]
- A. Kalnitsky [1]
- A. Losavio [1]
- A. Orailoglu [1]
- A. Rubino [1]
- A. Salsano [1]
- A. Sehgal [1]
- A. T. Erozan [1]
- A. Uchiyama [1]
- A. Valaee [1]
- A. Vigilante [1]
- A. Yakovlev [1]
- A.A. Amin [1]
- A.A. Hamzah [1]
- A.F. Murray [1]
- A.J. Al-Khalili [1]
- A.J. Shelley [1]
- A.J. van de Goor [1]
- A.K. Gupta [1]
- A.S. Tchakoutio Nguetcho [1]
- Aamir Zia [1]
- Abhijit Asati [1]
- Adam Teman [1]
- Ahmed M. Eltawil [1]
- Alexander Fish [1]
- Ali Afzali-Kusha [1]
- Ali Salimian [1]
- Amirhossein Alimohammad [1]
- Andreas Burg [1]
- Annada Shankar Lenka [1]
- Anu Gupta [1]
- Arya Lekshmi Jagath [1]
- Ashish Raman [1]
- Asim K. Ray [1]
- B. Alorda [1]
- B. Anand [1]
- B. Bayraktaroglu [1]
- B. Raynor [1]
- B. Tavli [1]
- B.-R. Park [1]
- B.J. Phillips [1]
- B.N. Song [1]
- B.S. Hideo Sunami [1]
- B.S. Kiyoo Itoh [1]
- Behzad Ebrahimi [1]
- Bo Liu [1]
- Brajesh Kumar Kaushik [1]
- C. Cahill [1]
- C. Cappetta [1]
- C. Chappert [1]
- C. Edwards [1]
- See more See less
Filter by access type:
This study presents a new energy-efficient design for static random access memory (SRAM) using a low-power input data encoding and output data decoding stages. A data bit reordering algorithm is applied to the input data to increase the number of 0s that are going to be written into the SRAM array. Using SRAM cells which are more energy-efficient in writing a ‘0’ than a ‘1’ benefits from this, resulting in a reduction in the total power and energy consumptions of the whole memory. The input data encoding is performed using a simple circuit, which is built of multiplexers and inverters. After the read operation, data will be returned back to its initial form using a low-power data decoding circuit. Simulation results in an industrial and a predictive CMOS technology show that the proposed design for SRAM reduces the energy consumption of read and write operations considerably for some standard test images as input data to the memory. For instance, in writing pixels of Lenna test image into this SRAM and reading them back, 15 and 20% savings are observed for the energy consumption of write and read operations, respectively, compared with the normal write and read operations in standard SRAMs.
VLSI, or Very-Large-Scale-Integration, is the practice of combining billions of transistors to create an integrated circuit. At present, VLSI circuits are realised using CMOS technology. However, the demand for ever smaller, more efficient circuits is now pushing the limits of CMOS. Post-CMOS refers to the possible future digital logic technologies beyond the CMOS scaling limits. This 2-volume set addresses the current state of the art in VLSI technologies and presents potential options for post-CMOS processes. VLSI and Post-CMOS Electronics is a useful reference guide for researchers, engineers and advanced students working in the area of design and modelling of VLSI and post-CMOS devices and their circuits. Volume 1 focuses on design, modelling and simulation, including applications in low voltage and low power VLSI, and post-CMOS devices and circuits. Volume 2 addresses a wide range of devices, circuits and interconnects.
Using conventional memory technologies, for example, static random access memory (RAM) (SRAM), dynamic RAM (DRAM) and flash memory, it is difficult to fulfill the market requirements for higher density and lower power dissipation [1]. Therefore, semiconductor organizations are thinking that it is difficult to supply the expanding market interest for the higher density and lower power nonvolatile memories [2]. The recent invention of memristor device has given hope to semiconductor organizations by offering a less demanding approach to expand the density by utilizing the current fabrication technology [3]. This is conceivable on the grounds that memristor devices just require two terminals to work, which utilize less wafer space, reduce the complexity of circuit interconnections and encourage highdensity integration when used as a part of crossbar structures [4-7]. Besides all these features of memristor, it also has some additional characteristics like low power and non-volatility [8]. But the main limitation of the memristor-based memory cell is its slow write time access [9]. Transmission gate is capable of providing rail-to-rail swing and can easily pass both logic “0” and logic “1” [10]. These advantages help to overcome the problem of slow write time access of memristor. The objective of this chapter is to understand what a memristor is and how can a memristor be modeled for its current-voltage (I-V) characteristics. Further, this chapter deals with the concepts of transmission gates, then using the designed memristor and transmission gates, a DRAM cell was designed. The designed memory cell was simulated using HSPICE tool. The result shows that the memristor-based DRAM cell can replace the conventional memory cell in future to achieve higher density and lower power dissipation.
This chapter explores the design space of proposed M7T, MPT8T, M8T, M9T and MI-12T SRAM cells implemented at 45 nm technology node which are suitable for subthreshold operation. For quick comparison, Figure 3.45 shows the comparative design space exploration (DSE) chart of SRAM cells at 45 nm technology, respectively. The thorough analyses on the impacts of read stability, write ability, average write delay, average read delay and leakage power consumption in hold mode have been summarized in Table 3.14. The proposed memory cells exhibit improvement in performance over C6T.
As the transistor size scales down exponentially to nanometric dimensions, the susceptibility of electronic circuits to radiation increases drastically. Protection against the radiation is important in the field of biomedical, aerospace, communication and computing. Flip-flops (FFs) and static random access memories (SRAMs) are used to store the data in many critical applications where their performance must be resilient to radiation exposures to guarantee reliability. Therefore development of resilient FFs and SRAM are the challenging and demanding problems. In this chapter, different approaches are analysed to design these radiation hard circuits.
This article presents a review of physical, analytical, and compact models for oxide-based RRAM devices. An analysis of how the electrical, physical, and thermal parameters affect resistive switching and the different current conduction mechanisms that exist in the models is performed. Two different physical mechanisms that drive resistive switching; drift diffusion and redox which are widely adopted in models are studied. As for the current conduction mechanisms adopted in the models, Schottky and generalised hopping mechanisms are investigated. It is shown that resistive switching is strongly influenced by the electric field and temperature, while the current conduction is weakly dependent on the temperature. The resistive switching and current conduction mechanisms in RRAMs are highly dependent on the geometry of the conductive filament (CF). 2D and 3D models which incorporate the rupture/formation of the CF together with the variation of the filament radius present accurate resistive switching behaviour.
This study proposes a single bit-line and disturbance-free static random-access memory (SRAM) cell for ultra-low voltage applications. SRAM cell with read-decoupled and cross-point structure addresses both the read-disturb and half-select stability issues; nevertheless, the write-ability is degraded due to the stacked pass transistors. In this study, the authors propose a single-ended 8T bit-cell and dual word-line control technique that can simultaneously improve the read stability, half-select stability, and write-ability without additional peripheral circuits, which is advantageous for bit-interleaved ultra-low voltage operations. A 4 kb test chip was implemented in a 90 nm complementary metal–oxide–semiconductor process to verify the proposed design. Silicon measurements indicate that the proposed design can operate at a voltage as low as 360 mV with 2.68 μW power consumption.
In high-density three-dimensional (3D) memory technology, a stacking method is used to create memory devices and access devices at the intersections of bit lines and word lines. For this application, access devices should have a high on/off ratio, high current density for writing cycles, and high endurance. Consequently, an arsenic–tellurium–germanium–silicon nitride compound (AsTeGeSiN) threshold switching device with a high current density of 104 A/cm2 above the threshold voltage (V th) is reported as a good candidate for use in access devices. In addition, scaling down of access devices as well as memory devices is essential for high-density 3D memories. However, in AsTeGeSiN threshold switching devices, fast degradation by pulse cycling in smaller devices is observed. To find the main cause of fast degradation by pulse cycling in smaller devices, the low-frequency noise properties are examined. The rapid increase in the trap density (N T) in small devices is the main cause of fast degradation by pulse cycling in AsTeGeSiN devices. On the basis of this evaluation, the author examines the effect of annealing temperature and annealing time on the pulse endurance in smaller devices. Using an annealing temperature of ∼600°C improves the cycling endurance of smaller devices.
This study compares the performance and reliability of classical complementary metal-oxide-semiconductor (CMOS) gates with Schmitt trigger (ST) ones. The ST hysteresis, caused by the added positive feedback transistors, improves the design static noise margin (SNM) and offers noise immune operation. Hence, ST-based circuits are expected to operate more reliably than the ones implemented using classical CMOS. Although many research papers have been focused lately on using ST design concepts for implementing more reliable static random access memory (SRAM) cells, significantly less work was devoted to the application of ST concepts in the combinatorial logic domain. Moreover, available research on ST-based logic gates had only focused on the low-voltage/power applications range. The authors are going to look at the whole voltage range and performance spectrum to compare and understand not only the SNMs and the power consumption (at different frequencies and voltage levels) but also the delay and the power-delay-product of ST-based logic gates. These will be compared with classical CMOS as well as with optimally sized CMOS and ST-based logic gates. This study should give a clear picture of the potential advantages ST could offer for combinatorial logic in advanced CMOS technology nodes and of their application range.
An open-loop per-pin skew compensation with lock fault detection is presented. The proposed circuit employs an open-loop reference selector, a two-stage open-loop delay lock method which is separated by a coarse and fine lock for fast lock-in time, and a fault lock detecting scheme to prevent lock fault by dead zone of samplers. A unidirectional scan method ahead the fine lock stage to minimise pin-to-pin skew errors after calibration is also applied. The circuit was fabricated with 55 nm CMOS technology with a 1 V supply voltage and an area of 0.0036 mm2 for one de-skewing module. The measured result shows that the skew error at 1 GHz operation was reduced to <6 ps after skew calibration when the skew between input/output (IO) pins was 230 ps, and the lock-in time was 11 clock cycles.
This Letter proposes an on-chip data strobe transmission circuit for dynamic random access memory (DRAM). The on-chip differential repeaters with cross-coupled latches are adopted to prevent the sampling margin reduction. A node monitoring circuit has been proposed to prevent short-circuit currents of the on-chip differential repeaters and cross-coupled latches caused by high impedance inputs. When compared with the conventional differential signalling, the proposed circuit can save the short-circuit current of 6.2 mA per a single write operation. The chip has been fabricated in 350 nm CMOS technology and the active chip area is 0.189 mm2.
This Letter proposes a new scheme to eliminate the bit-line leakage current of static random access memory. The proposed scheme utilises a four-input sense amplifier to amplify the voltages of self-compared bit-line pairs. The bit-lines of the proposed structure have no series capacitances and are directly connected to the sense amplifier input. By this way, read delay and error caused by the leakage current of bit-lines will be eliminated. Simulation results in SMIC 28 nm CMOS process design kits show that the proposed scheme has better stability and can decrease delay time by 41.1% at 0.9 V supply voltage compared with the X-Calibration technology.
Fin field-effect transistors (FinFETs) are replacing the traditional planar metal–oxide–semiconductor FETs (MOSFETs) because of superior capability in controlling short channel effects, leakage current, propagation delay, and power dissipation. Planar MOSFETs face the problem of process variability but the FinFETs mitigate the device-performance variability due to number of dopant ions. This work includes the design of static-random access memory (SRAM) cell using FinFETs. The performance analysis of the ST11T, proposed ST13T SRAM cell, and with power gating sleep transistors is given in this study using the Cadence Virtuoso Tool (V.6.1). Owing to its improved gate controllability and scalability, the FinFET transistor structure is better than the conventional planar complementary MOS technology. The proposed design aims at the power reduction and speed improvement for the SRAM cell. From the result it is clear that optimised proposed FinFET-based ST13T SRAM cell is 92% more power efficient with the use of power gating technique, i.e. sleep transistors approach and having 12.84% less delay due to the use of transmission gates in the access path.
A new pathway to design floating gate quantum dot (QD) non-volatile RAM (QDNVRAM) cells that possess high-speed low-voltage Erase capabilities not possible with conventional floating gate NV memories is presented. This is achieved by directly accessing the QD floating gate layer with an additional drain (D2) during the Erase operation. Experimental data on fabricated long-channel (10 μm/14 μm) QDNVRAM cell shows ‘Erase’ pulse duration of ∼4 μs at voltage of about 10 V using drain D2 which is over two-order smaller than the ‘Write’ pulse value. Quantum mechanical simulations are also presented. QDNVRAM fabrication process is compatible with CMOS processing.
Soft errors in semiconductor memories occur due to charged particle strikes on sensitive nodes. Technology and voltage scaling increased dramatically the susceptibility of static random access memories (SRAMs) to soft errors. In this study, the authors present AS8-SRAM, a new asymmetric memory cell that enhances the soft error resilience of SRAMs by increasing the cells critical charge. They run Simulation Program with Integrated Circuit Emphasissimulations and system level experiments to validate the AS8-SRAM cell characteristics at circuit level and evaluate the energy and reliability effectiveness of an AS8-SRAM-based cache memory. The authors’ results show that AS8-SRAM presents up to 58 times less failures in time compared to six-transistor SRAM. Moreover, based on embedded benchmarks experimentations, AS8-SRAM achieves up to 22% reduction in energy-delay product without any considerable loss in performance.
In most embedded microprocessor based System on chips (SoCs), cache has become a major source of power consumption due to its increasing size and high access rate. Power optimization of cache based on Compare-based adaptive clock gating (CACG) is proposed to reduce the power waste due to cache idle. By detecting the cache's working state, the CACG can automatically turn off its clock when it is in idle state, saving a large percentage of dynamic power. Measurements of a real SoC chip fabricated under TSMC 65nm CMOS process show that an average of 30.3% power reduction is gained in Dhrystone test benchmark at a cost of negligible area overhead and no virtually performance loss.
A fuzzy static RAM (SRAM) is proposed, which is applicable in fuzzy logic and many multiple-valued logic (MVL) applications. The new structure is basically an extension to the binary SRAM cell. Two cross-coupled voltage mirror circuits are used to be able to hold an arbitrary voltage value. The proposed design forms a robust and reliable structure, which is capable of operating with more than 95% accuracy in spite of imperfect fabrication of carbon nanotube FETs. Another exceptional advantage is its ultra-low-power consumption in MVL environments. It consumes 38.7 and 99% less static power compared with the SRAMs with regular ternary and quaternary components, respectively.
Caches are used to improve memory access time and energy consumption. The cache configuration which enables the best performance often differs between applications due to diverse memory access patterns. The authors present a new concept, called switchable cache, where multiple cache configurations exist on chip, leveraging the abundant transistors available due to what is known as the dark silicon phenomenon. Only one cache configuration is active at any given time based on the application under execution, while all other configurations remain inactive (dark). They describe an architecture to enable seamless integration of multiple cache configurations, and a novel design space exploration methodology to rapidly pre-determine the optimal set of configurations at design-time, for a given group of applications. For design spaces containing trillions of design points, the authors’ exploration methodology always found the optimal solution in less than 2 s. The switchable cache improved memory access time by up to 26.2% when compared to a fixed cache.
A ferroelectric-gated graphene field-effect transistor was fabricated by consecutively stacking two distinct graphene–ferroelectric hybrid ribbons at right angles. Two graphene layers play different roles. One graphene layer acts as a gate electrode and the other graphene layer acts as a channel between two electrodes, source and drain. Electric gating at the gate graphene modulates the resistance of the channel graphene. By means of ferroelectric polarisation, bistable resistance states of the channel graphene could be recorded, and the retention time of bistability was estimated to be 460 days by extrapolating of two resistance values in time–resistance relationships. Furthermore, the underlying concept to fabricate bistable memory device was extended to the methodology to realise a logic-gate device by stacking three distinct graphene–ferroelectric hybrid ribbons.
Novel two-transistor embedded memory – floating body gate cell – is implemented on planar SOI CMOS technology without adding extra masks. Since channel current is designed for memory cell write operations, this cell demonstrates ultra-fast write speed which is comparable with static RAM cell. The decoupled write and read structure ensures small operation power consumption and avoid false read. The low operation voltages of this cell lead to the excellent endurance performance. In addition, retention time is greatly enhanced due to the gate-to-drain underlap design.
As the scale of graphene-based non-volatile memory is reduced, the ratio of access resistance R A to total channel resistance R TOT is increased. To investigate the effect of the R A on I–V characteristics, we fabricated devices with various access lengths L A and self-aligned structure. Proposed structure using self-aligned gate minimises L A, and thereby improves the drain current, ‘on/off’ current ratio I ON/I OFF and transfer characteristics. In proposed structure, ‘off’ current is increased from 0.16 to 0.28 mA because R TOT was reduced; ‘on’ current increased from 0.35 to 0.72 mA, but I ON/I OFF increased from 2.18 to 2.57. Proposed structure also had larger memory window (8.5 V) than did conventional devices (6.7 V).
The demand for ever smaller and portable electronic devices has driven metal oxide semiconductor-based (CMOS) technology to its physical limit with the smallest possible feature sizes. This presents various size-related problems such as high power leakage, low-reliability, and thermal effects, and is a limit on further miniaturization. To enable even smaller electronics, various nanodevices including carbon nanotube transistors, graphene transistors, tunnel transistors and memristors (collectively called post-CMOSdevices) are emerging that could replace the traditional and ubiquitous silicon transistor. This book explores these nanoelectronics at the circuit and systems levels including modelling and design approaches and issues. Topics covered include self-healing analog and radio frequency circuits; on-chip gate delay variability measurement in scaled technology node; nanoscale finFET devices for PVT aware SRAM; data stability and write ability enhancement techniques for finFET SRAM circuits; low-leakage techniques for nanoscale CMOS circuits; thermal effects in carbon nanotube VLSI interconnects; lumped electro-thermal modeling and analysis of carbon nanotube interconnects; high-level synthesis of digital integrated circuits in the nanoscale mobile electronics era; SPICEless RTL design optimization of nanoelectronic digital integrated circuits; green on-chip inductors for threedimensional integrated circuits; 3D network-on-chips; and DNA computing. This book is essential reading for researchers, research-focused industry designers/developers, and advanced students working on next-generation electronic devices and circuits.
Six-transistor static random-access memory (6T SRAM) cell is the fundamental building block of memory cache in modern microprocessors. Each bit of data is stored in an individual 6T SRAM cell in the memory subsystem. Read data stability and write ability of 6T SRAM cells are degraded with the scaling of CMOS technology. Conventional circuit techniques for achieving wider voltage margins during read and write operations cause significantly larger silicon area and increased power consumption. Several alternative FinFET memory design techniques are presented in this chapter for achieving stronger data stability during read operations and wider voltage margin during write operations without causing area and power consumption overheads in the memory subsystems of microprocessors.
This chapter describes nanoscale FinFET devices and their application in SRAM design. It also discusses variability of nanoscale integrated circuits (ICs) and introduces variability-aware memory design. In the previous two chapters, process variations were discussed for analog and digital ICs. However, this chapter focuses on futuristic memory design. A comprehensive variability including process, voltage and temperature (PVT) variations has been discussed for future SRAM design. After analysing the results of PVT-aware designs, it is found that sensitivity-driven IG-FinFET-based SRAM is the most suitable technique for reliable and high-density memories. The design of SRAM using a post-CMOS device, namely FinFET widely adopted in semiconductor industry has been specifically elaborated.
Operation of the 1-transistor, 1-capacitor dynamic random access memory cell that allows for two-bit operation, double the typical storage capacity, is explored. By using a metal-ferroelectric-semiconductor field-effect transistor, a second bit is captured in the ferroelectric layer polarisation resulting from negative and positive polarisation states. As a result, new modes of operation are created giving non-volatile, long-term storage as well as decreased power consumption and radiation hardening. A typical write and read operating cycle is outlined in-depth and used to verify operation indicating four distinct states representing the two bits. The resulting empirical data gives a comprehensive presentation of the read cycle of the memory cell. Methods for determining the polarisation state of the transistor are also explored and used to determine the average value for measured channel resistance using three types of transistors, each having different channel width and length.
A gain cell embedded dynamic random access memory (eDRAM) with a noble charge injection technique is presented. The gain memory cell is composed of dual-threshold two logic N-type MOSs implemented in a generic triple-well CMOS process. A negative-voltage toggle on the parasitic junction diode formed between the pocket p-well and the cell data node couples up the cell storage voltages. It results in a much enhanced retention time in a compact bit area. Moreover, the technique exhibits much strong immunity from the write disturbance. Measured results at 85°C from a 110 nm 64 kbit prototype eDRAM incorporating the proposed technique demonstrate 69% enhanced retention time and 86% smaller write disturbance loss compared with the conventional one.
Quantum dot gate field-effect transistor (QDGFET) generates three states in their transfer characteristics. A successful model can explain the generation of third state in the transfer characteristics of the QDGFET. The innovative circuit design using QDGFET can be used to design different ternary logic. This Letter discusses the design of ternary logic static random access memory using QDGFET.
The presence of voltage controlled negative differential resistance was observed in conduction characteristics recorded at room temperature for 300 nm thick spin-coated films of graphene oxide (GO) sandwiched between indium tin oxide (ITO) substrates and top electrodes of sputtered gold (Au) film. The GO crystallites were found from the X-ray diffraction studies to have an average size in the order of 7.24 nm and to be preferentially oriented along (001) plane. Raman spectroscopy suggested that the material consisted of multilayer stacks with the defects being located at the edges with an average distance of 1.04 nm apart. UV visible spectroscopy studies suggested that the band gap of the material was 4.3 eV, corresponding to direct transitions. The two-terminal ITO/GO/Au devices exhibited memristor characteristics with scan-rate dependent hysteresis, threshold voltage and On/Off ratios. A value of >104 was obtained for On/Off ratio at a scan rate of 400 mVs−1 and 4.2 V.
In this paper, a new voltage mirror circuit by using carbon nanotubes (CNTs) technology is presented. This circuit is specifically proposed for the application of duplicating multiple-valued and fuzzy dynamic random access memories. The given structure prevents any voltage drop for the capacitor inside the memory cell. As a result, any fanout circuit can be driven. The new structure can be utilised for different multiple-valued logic systems without a change. The unique characteristics of carbon nanotube field effect transistor (CNFET) technology are exploited in this paper to meet the desired design goals. It demonstrates the potentials of CNFET technology in a realistic very large-scale integration application. The proposed design is highly tolerant to D CNT variation and it is also immune to misaligned CNTs. Simulation results demonstrate that it provides sufficient driving capability with reasonable accuracy.
Multiple way tables in which items can be placed on several buckets are used in many computing applications. Some examples are cache memories and multiple hash tables structures. In most cases, the items are stored in electronic memories that are prone to soft errors that can corrupt the stored items. To avoid data corruption, memories can be protected with a parity bit or with an error correction code. It is shown that most single bit errors can be detected in multiple way tables without adding a parity bit. This can be done by placing the items in a predetermined order in the multiple ways of the table.
This study documents the speeds of various SRAM buffer memories that are possible in a contemporary fast SiGe heterojunction bipolar transistor (HBT) BiCMOS process. An SRAM in a 0.13 µm HBT BiCMOS technology using current mode logic (CML)-style circuits serves as a basis for the discussion. This basic SRAM design features a CML decoder, CML word line driver, bipolar sense amplifier for achieving high speed and CMOS 6T memory cells for high density. The BiCMOS technology is especially useful for realising ultra-high-speed SRAMs for low level cache memory in high-clock rate computer systems, but when reorganised can also be utilised in analogue-to-digital converter (ADC) systems to store digitalised data. Speed and power tradeoffs can be made using different bias strategies, CML logic levels and different generations of SiGe HBTs. A demonstrated 128 kb SRAM macro consumes 2.7 W at 4 GHz using a −3.4 and −1.5 V supply voltage for the bipolar and CMOS circuits, respectively, and has dimensions of 3.5 mm × 3.6 mm by using IBM 8HP SiGe technology, which provides an HBT with a f T of 210 GHz. This macro can be integrated into large scale, ultra-wide bus SRAMs using heterogeneous silicon and 3D technology. Simulation indicates that with the next generation of SiGe HBTs, this SRAM macro can operate at 5 GHz, while consuming the same amount of power or alternatively consume 0.73 W, which is 73% less power consumption compared to 8HP, while operating with the same frequency of 4 GHz. Reorganising the memory for a 4 way-interleaved ADC, it can accept data written at 9.5 GS/s for 8HP designs, and 11.9 GS/s for 8XP designs.
In this paper, the authors propose a novel static random access memory (SRAM) that employs the adiabatic logic principle. To reduce energy dissipation, the proposed adiabatic SRAM is driven by two trapezoidal-wave pulses. The cell structure of the proposed SRAM has two high-value resistors based on a p-type metal-oxide semiconductor transistor, a cross-coupled n-type metal-oxide semiconductor (NMOS) pair and an NMOS switch to reduce the short-circuit current. The inclusion of a transmission-gate controlled by a write word line signal allows the proposed circuit to operate as an adiabatic SRAM during data writing. Simulation results show that the energy dissipation of the proposed SRAM is lower than that of a conventional adiabatic SRAM.
This paper presents a highly integrated sinusoid reference generating system based on Microblaze, suitable for precise testing of protective relays. Microblaze, a soft CPU core, was embedded in a FPGA that integrates an ADC interface, a DAC interface, an EEPROM and other peripherals. The prototype and transfer functions of various types of digital filters were designed with reference to the characteristic of the required output signal. Their functional behaviour were implemented in the system on chip using a recursion algorithm. As a critical factor in the digital reference design, a detailed discussion has been performed to introduce the theory of three types of closed-loop control, i.e. amplitude, frequency and phase control. A digital PI control algorithm was implemented in the system to satisfy the control target. The experimental results indicate that the relay evaluation system, using this sinusoid reference, operates correctly. The paper will demonstrate how the performance of the output sine signal improves, as compared with the normal sine reference, especially when outputting low amplitude signals. The research methodology of this reference system and this highly integrated circuit are significant for the optimization of a relay testing system, and provide a theoretical justification and a feasible implementation for a precise relay testing system.
The impact of dynamic variability due to low-frequency fluctuations on the operation of CMOS inverters, which constitute the basic component of SRAM cell, is investigated. The experimental methodology to characterise the effect of dynamic variability in a CMOS inverter is first established based on fast I–V measurements of the load current following the application of a ramp input voltage V in(t). It is shown that, for small ramp rise times, the load current characteristics I DD(V in) exhibit a huge sweep-to-sweep dispersion due to low-frequency noise. The impact of such dynamic variability sources on the inverter's output characteristics V out(V in) is finally demonstrated, revealing a 20% noise margin reduction for the smallest inverter cell.
A design for an integer motion estimator of high-efficiency video coding (HEVC) is presented. HEVC supports the 64 × 64 coding tree unit, the recursive quad-tree coding unit structure and the asymmetric motion-partitioning mode in a high compression ratio. These features require a structure of integer motion estimation that is more complex than that of H.264/AVC. The new structures of a memory read controller and a sum of absolute difference (SAD) summation block are proposed. The new memory read controller reduces the internal memory read time, and the new SAD summation block structure supports the recursive quad-tree coding unit structure and the asymmetric motion-partitioning mode. The proposed design is implemented in Verilog HDL and synthesised using the 65 nm CMOS technology. The gate count is 3.56 M, and the internal static random access memory is about 20 kbyte. The operation frequency is 250 MHz when a 4 K-Ultra high definition (UHD) (3840 × 2160P at 30 Hz) sized video is encoded.
As an implementation of the static random access memory (SRAM), the tunnelling SRAM (TSRAM) uses the negative differential resistance of resonant (interband) tunnelling diodes (R(I)TDs) and potentially offers improved standby power dissipation and integration density compared with the conventional CMOS SRAM. TSRAM has not yet been realised with a useful bit capacity mainly because the level of reproducibility required of the nanoscale R(I)TDs has been demanding and difficult to achieve. In this reported work, the design of TSRAM cells is approached from the perspective of maximising their yield and specific results are presented for an RITD-based cell. With advances in the control of semiconductor multilayer growth, it is shown that achieving acceptable yields is now within sight.
Gain-cell-based embedded dynamic random-access memory (DRAMs) are a potential high-density alternative to mainstream static random-access memory (SRAM). However, the limited data retention time of these dynamic bitcells results in the need for power-consuming periodic refresh cycles. This Letter measures the impact of body biasing as a control factor to improve the retention time of a 2 kb memory block, and also examines the distribution of the retention time across the entire gain-cell array. The concept is demonstrated through silicon measurements of a test chip manufactured in a logic-compatible 0.18 μm CMOS process. Although there is a large retention time spread across the measured 2 kb gain-cell array, the minimum, average and maximum retention times are all improved by up to two orders of magnitude when sweeping the body voltage over a range of 375 mV.
To reduce the reset current for developing reliable high-density phase change random access memory, small bottom electrode contact (BEC) size formation is a critical process. One of the failure modes for the process is the corrosion of the tungsten (W) plug, which is caused by the W chemical mechanical polisher (CMP) process. An ultra-smooth surface of BEC nanoscale W plug structure was successfully fabricated by the CMP process, which reduced the W/phase change material (Ge2Sb2Te5, GST) contact resistance, and gained more homogeneous resistance distribution. Thus, the stability of the device was improved greatly by the acidic buff CMP process compared with that of the device with alkali buff owing to the reduction of W/GST connect resistance fluctuation.
An antifuse structure that is fully compatible with the standard floating-gate technology is presented. The antifuse consists of an oxide-nitride-oxide dielectric layer, sandwiched between polysilicon and N-well layers. The characteristics of the antifuse are investigated. The off-state resistance of the antifuse is larger than 10 GΩ. The programmed antifuses show linear ohmic characteristics and have a tight resistance distribution centred around 350 Ω. The time dependent dielectric breakdown measurements show that the extrapolated lifetime of the unprogrammed antifuse at 5.5 V is as long as 40 years, and the resistance change of post-program antifuses under the continuous reading mode test is lower than 5%.
Demonstrated is a nonvolatile memory device based on a SiO2/GaN/AlGaN/GaN heterostructure in which the upper GaN layer acted as a storage node. Charges were stored in and released from the upper GaN layer by applying positive and negative gate biases, respectively. The top SiO2 layer acted as a blocking layer. The threshold voltage shift was ∼ 3 V between the program and erase modes and the retention characteristics were very stable over 10000 s.
The fabrication of gallium oxide nanodots for the application of resistive random access memory (RRAM) using a process of atomic force microscopy (AFM) local anodic oxidation on an indium tin oxide conductive glass substrate is reported. In the atmospheric environment, an AFM probe tip contacts the gallium film locally. This gallium oxide nanodot acts as the insulator layer in a single unit of the RRAM. The structure describes the insulator layer (GaOx) sandwiched by the top (AFM tip) and bottom (Ga film) electrodes. Using current and voltage biased methods, the device switches from a high-resistance state (HRS) to a low-resistance state (LRS) and reset from LRS to HRS. Low read-voltage is used to distinguish the high/low resistance to present the digital data. Presented results show the ability of atomic force microscopy anodic oxidation to produce 300 nm diameter gallium oxide nanodots on glass substrates for potentially high density RRAMs.
This undergraduate textbook for electrical and computer engineering students is dedicated solely to digital CMOS electronics. It covers many of the topics of graduate level textbooks, but in an introductory style specifically crafted (and course tested) for undergraduates. Students will not need a prerequisite in analog electronics, allowing instructors flexibility in course scheduling. This book blends the academic and industrial experience of the authors to define a base of electronics instruction for the CMOS chip industry. CMOS Digital Integrated Circuits: A First Course teaches the fundamentals of modern CMOS technology by focusing on central themes and avoiding excessive details. Extensive examples, self-exercises, and end-of-chapter problems assist in teaching the current practices of industry and subjects taught by graduate courses in microelectronics. Computer engineering curriculums can remove the analog electronics prerequisite altogether when adopting this book. Key Features: CMOS technology written specifically for (and tested by) undergraduates; Equal treatment to both types of MOSFET transistors that make up computer circuits; Power properties of logic circuits; Physical and electrical properties of metals; Introduction of timing circuit electronics; Introduction of layout; Real-world examples and problem sets.
SRAMs in nanoscale CMOS technology suffer from plethora of design challenges such as increased process variation, increased leakage current and variation in the cell current that threatens the reliability of sensing scheme. These issues coupled with continuous increase in the SRAMs size, requires additional techniques and treatments such as read-assist techniques to ensure fast and reliable read operation. In this study, the authors address these concerns and propose a novel read-assist sensing scheme. The circuit is simulated using Spectre in 65 nm CMOS technology. Simulation results showed an increased sensing speed, lower power dissipation and enhanced SRAM dynamic cell stability. A complete comparison is made between the proposed scheme, the conventional circuit and another state of the art design, which shows speed improvement of 55.34, 66.01% and power reduction of 21.33, 89.09% with respect to conventional sense amplifier and the referenced scheme, respectively. These enhancements are at the expense of negligible area overhead. Also, the proposed scheme enables one to reduce the cell's VDD by 227 and 345 mV for the same operating frequency with respect to conventional and referenced circuits, respectively. This results in leakage power reduction of 19.7 and 30% which constitutes a considerable portion of overall power dissipation in nanoscale SRAMs.
Researchers from the Jeju National University in Korea have proposed a method for depositing titanium dioxide (TiO2) films onto flexible polymer substrates. The method, known as electrohydrodynamic printing, has been used in other printed circuit technology, and the group have used the technique's advantages to improve the manufacture of flexible memory devices.Titanium dioxide was the compound used to manufacture the first solid-state memristor device in 2008, and this technology is advancing rapidly, with commercial devices expected within the next few years. Memristor based memory is known as non-volatile storage, as the memory elements maintain their state even in the absence of power, which has obvious advantages for efficiency, cost and environmental concerns.While memristors have clear uses for standard solid-state storage, when combined with flexible substrates they can also be applied to other thin-film technology, such as solar cells, keypads and displays.
The study presents the dependence of floating gate (FG) coupling potential, VFG on the source line (SL) programming voltage, VSL of the split-gate flash memory cells with an additional top coupling gate above FG, called the ‘SG-TCG’ cells. The mathematical analysis shows non-linear VFG against VSL behaviour of SG-TCG cells depending on the operation region of FG-MOSFETs. It is found that as the value of VSL increases, the value of VFG initially increases steeply, then gradually and finally, linearly with a lower slope. This anomalous VFG against VSL behaviour is because of the potential drop in the bulk of FG-MOSFETs by the applied VSL. The mathematical analysis, also, shows SL coupling factor (κSL) roll-off because of the increase in the FG-MOSFETs body potential with the increase in VSL. In addition, κSL is shown to approach a constant value in the saturation region of FG-MOSFETs where VFG is less susceptible to supply voltage fluctuation. The mathematical analysis agrees very well with the numerical device simulation. The study, clearly, shows that in order to achieve higher shift in programme cell threshold voltage and reduce performance variability owing to supply voltage fluctuation, the target programming bias VSL of nanoscale SG-TCG cells must be higher than the saturation voltage of FG-MOSFETs.
Sphere-shaped-recess cell-array transistors (S-RCATs) have been playing a major role in DRAMs on the 70 nm design-rule. The S-RCAT has a recessed-channel structure that produces small drain-induced barrier lowering (DIBL) and large body-bias effect. The temperature and body-bias dependence of DIBL is studied for a S-RCAT. Negative DIBL is peculiarly observed under certain conditions. It is assumed that the negative DIBL originates from the increase of body-bias effect with increasing drain bias and temperature.
The increased device variations, lower supply voltages have enforced the usage of write-assist circuits in static random access memory (SRAMs) in the nano-complementary metal oxide semiconductor (CMOS) regime. Negative bit-line scheme during write has been found one of the most promising write-assist solutions. A new low power, negative bit-line scheme is presented. The presented negative bit-line technique can be used to improve the write ability of 6 T single-port (SP) as well as 8 T dual-port (DP) and other multiport SRAM cells. Negative voltage is generated on-chip using capacitive coupling. Only the bit-line on which a ‘0’ is to be written is taken negative during write operation. The proposed circuit design topology does not affect the read operation for bit-interleaved architectures enabling high-speed operation. Simulation results and comparative study of the present scheme with state-of-the art conventional schemes proposed in the literature for 45 nm CMOS technology show that the proposed scheme is superior in terms of process-variations impact, area overhead, timings and dynamic power consumption.
This paper introduces a novel hardware architecture for modular exponentiation. The proposed architecture is optimised for circuit area sensitive applications such as resource-constrained mobile devices in ubiquitous computing. The architecture provides a scalable design suitable for both the RSA and Diffie-Hellman cryptosystems. This architecture has been implemented on UMC 0.13μm CMOS standard cell technology. The 1,024-bit design utilises only 3,188 gates and 3,072 RAM bits. The 2,048-bit design consumes only 4,275 gates and 6,144 RAM bits. To the authors' knowledge, the proposed architecture achieves the smallest area in comparison to other candidates reported in the literature. The 1,024-bit design reports the lowest power consumption of [email protected] (5 pages)
The effects of process variations on the performance of nanometre CMOS circuits have become a serious design issue, aggravated by further scaling of device dimensions. This article presents a statistical TCAD tool called Multilevel-Partitioned REsponse Surface Modelling (M-PRES) to model the impact of manufacturing process variations on circuit performance; an SRAM cell is used as a demonstration vehicle for the tool. A new non-Gaussian approach for modelling variations for sub-90 nm technologies is also presented. A comparison is made with the Monte Carlo approach, demonstrating four times (4×) computationally efficiency for M-PRES without the loss of accuracy. The M-PRES models are also re-usable reducing the computation time for the analysis of other sets of process data down to a few tens of seconds.