Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Debug support for complex systems on-chip: a review

Debug support for complex systems on-chip: a review

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IEE Proceedings - Computers and Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

The introduction of complex systems-on-chip (SoC) devices with multiple processor cores presents new challenges for embedded systems developers. Novel development tools specifically targeting complex SoC will help overcome these challenges, but are typically limited by inadequate debug support facilities within the SoC. High-quality debug support with advanced features is essential to take full advantage of complex SoC devices in challenging applications while simultaneously reducing development time. Here, existing strategies for providing comprehensive SoC debug support targeting hard real-time applications, such as automotive control, where development challenges are overwhelming are reviewed. This overview includes an evaluation of the available solutions and their suitability for use with the next generation of complex SoC based on multiple processor cores. It is shown that many existing solutions do not readily permit developers to take advantage of the complex features integrated into the next generation of SoC. The essential features of debug support for multiple processor core SoCs are summarised and discussed. Recommendations are made for SoC designers and for the future direction of research in this area, with the aim of providing a more suitable foundation for new development tools. Such tools are badly needed for all hard real-time embedded systems and are paramount to managing the development complexity introduced by SoC devices with multiple highly interactive processor cores and active peripherals.

References

    1. 1)
      • Bommireddy, A., Khare, J., Shaikh, S.: `Test and debug of networking SoCs – a case study', 18thIEEE VLSI Test Symp., 30 April 2000, p. 121–126.
    2. 2)
      • Debug Innovations: ‘J-Link system overview’, 2004, http://www.debuginnovations.com/.
    3. 3)
      • Semiconductor Industry Association (SIA): ‘International Technology Roadmap for Semiconductors’, 2003 edition, http://public.itrs.net/Files/2003ITRS/Home2003.htm.
    4. 4)
      • Scottow, R.G., McDonald-Maier, K.D.: `Measuring determinism in real-time embedded systems using cached processors', Proc. ESA, 7–11 March 2005, Las Vegas.
    5. 5)
    6. 6)
      • Pateras, S.: `Embedded diagnosis IP', DATE, 2002.
    7. 7)
      • Jung, D.-J., Kwak, S.-H., Lee, M.-K.: `Reusable embedded debugger for 32 bit RISC processor using the JTAG boundary scan architecture', Proc. 2002 IEEE Asia-Pacific Conf. on ASIC, 6–8 August 2002, p. 209–212.
    8. 8)
      • B. Vermeulen , S.K. Goel . Design for debug: catching design errors in digital chips. IEEE Des. Test Comput. , 3 , 37 - 45
    9. 9)
      • van Rootselaar, G.J., Vermeulen, B.: `Silicon debug: scan chains alone are not enough', Int. Test Conf., September 1999, Atlantic City, USA, p. 892–902.
    10. 10)
      • ARM: ‘How CoreSight technology gets higher performance, more reliable product to market quicker’, 2004, www.arm.com.
    11. 11)
      • Clement, B., Hersemeule, R., Lantreibecq, E., Ramanadin, B., Coulomb, P., Pogodalla, F.: `Fast prototyping: a system design flow applied to a complex system-on-chip multiprocessor design', DAC, 21–25 June 1999, p. 420–424.
    12. 12)
      • `Hitachi SuperH RISC engine SH7144 Series hardware manual', ADE-602-254A, Rev. 2.0, 2002.
    13. 13)
    14. 14)
      • Infineon Technologies AG: ‘Infineon TC1920 system units user's guide v1.2’, 2003, www.infineon.com..
    15. 15)
      • Mayer, A., Siebert, H., Leteinturier, P., Qual, A.: `Embedded system tool to support debugging, calibration, fast prototyping and emulation', SAE World Congress and Exhibition, March 2004, ‘SAE International’.
    16. 16)
      • Maier, K.D.: `On-chip debug support for embedded systems-on-chip', ISCAS, 25–28 May 2003, Bangkok, Thailand, p. 565–568.
    17. 17)
      • ARM: ‘Embedded trace macrocell architecture specification’, ARM IHI 0014H, 2002, www.arm.com..
    18. 18)
      • Dally, W.J., Towles, B.: `Route packets, not wires: on-chip interconnection networks', DAC, 18–22 June 2001, Las Vegas, USA.
    19. 19)
      • Mayer, A., Siebert, H., Kolof, A., el Baradie, S.: `Debug support for complex system-on-chips', Embedded Systems Conf., April 2003, San Francisco, ‘CMP media LLC’.
    20. 20)
      • `IEEE standard test access port and boundary-scan architecture', IEEE JTAG 1149.1-2001 Std., 2001.
    21. 21)
      • Huang, I.-J., Lu, T.-A.: `ICEBERG: an embedded in-circuit emulator synthesizer for microcontrollers', DAC, 1999, p. 580.
    22. 22)
      • Hoffmann, A., Kogel, T., Meyr, H.: `A framework for fast hardware–software co-simulation', DATE, 13–16 March 2001, p. 760–764.
    23. 23)
      • MIPS Technologies: ‘EJTAG trace control block specification’, MD00148 Rev. 1.04, 2002, www.mips.com.
    24. 24)
      • Marantz, J.: `Enhanced visibility and performance in functional verification by reconstruction', DAC, 1998, p. 164–169.
    25. 25)
      • Liu, J., Zhu, M., Bian, J.: `A debug sub-system for embedded-system co-verification', 4thInt. Conf. on ASIC, 23–25 October 2001, Xue Hongxi, p. 77–780.
    26. 26)
      • `Standard for a global embedded processor debug interface', IEEE-ISTO 5001™-1999 Std, 1999, http://www.nexus5001.org..
    27. 27)
      • Vermeulen, B., Waayers, T., Bakker, S.: `IEEE 1149.1-compliant access architecture for multiple core debug on digital system chips', Int. Test Conf., 7–10 October 2002, p. 55–63.
    28. 28)
      • ARM: ‘Embedded cross trigger technical reference manual’, issue A, revision r0p0, 2003, www.arm.com..
    29. 29)
      • Goel, S.K., Vermeulen, B.: `Hierarchical data invalidation analysis for scan-based debug on multiple-clock system chips', Int. Test Conf. (ITC02), 7–10 October 2002, Baltimore, USA, p. 1103–1110.
    30. 30)
      • Huang, I.-J., Kao, C.-F.: `Exploration of multiple ICEs for embedded microprocessor cores in an SoC chip', 2ndInt. Asia Pacific Conf. on ASIC, 2000.
    31. 31)
      • Melear, C.: `Using background modes for testing, debugging and emulation of microcontrollers', Conf. Proc. of Wescon'97, 1997, ‘IEEE’, p. 90–97.
    32. 32)
      • Scottow, R.G., McDonald-Maier, K.D.: `How to manage determinism and caches in embedded system', ESS, 2005, Birmingham.
    33. 33)
      • `The economic impacts of inadequate infrastructure for software testing'', RTI-7007.011US, Technical Report, 2002.
    34. 34)
    35. 35)
      • Gaisler Research: ‘GRLib’, 2004, http://www.gaisler.com.
    36. 36)
      • Y. Zorian , E. Jan Marinissen , S. Dey . Testing embedded-core-based system chips. Computer , 6 , 52 - 60
    37. 37)
      • Hopkins, A.B.T., McDonald-Maier, K.D.: `Generic data trace unit and trace compression for system-on-chip', IEE/ACM postgraduate Seminar on SoC Design, Test and Technology, 15 September 2004.
    38. 38)
      • Golshan, F.: `Test and on-line debug capabilities of IEEE Std 1149.1 in UltraSPARCTM-III microprocessor', Proc. Int. Test Conf., October 2000, p. 141–150.
    39. 39)
      • Infineon Technologies AG: ‘Tricore 1 architecture manual’, ver. 1.3.3, 2002, www.infineon.com.
    40. 40)
      • D.A. Huffman . A method for the construction of minimum redundancy codes. Proc. IRE , 1098 - 1101
    41. 41)
      • Roychoudhury, A., Mitra, T., Karri, S.R.: `Using formal techniques to debug the AMBA system-on-chip bus protocol', DATE, 3–7 March 2003, p. 828–833.
    42. 42)
      • Mayer, A., McDonald-Maier, K.D.: `Debug support, calibration and emulation for multiple processor and powertrain control SoCs', DATE, 7–11 March 2005, Munich (DE).
    43. 43)
      • Oakland, S.F.: `Position statement: TAPs all over my chips', IEEE Int. Test Conf., 7–10 October 2002, p. 1192.
    44. 44)
      • McLaurin, T.L.: `Position statement: TAPs all over my chips', Int. Test Conf., 7–10 October 2002, p. 1193.
    45. 45)
      • Whetsel, L.: `An IEEE 1149.1 based test access architecture for ICs with embedded cores', Proc. Int. Test Conf., p. 69–78.
    46. 46)
      • Motorola Inc. (now Freescale): ‘MPC565/MPC566 user's manual’, MPC565UM/D REV 2, 2002, www.motorola.com/semiconductors.
http://iet.metastore.ingenta.com/content/journals/10.1049/ip-cdt_20050194
Loading

Related content

content/journals/10.1049/ip-cdt_20050194
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address