Focalising dynamic value prediction to CPU's context

Access Full Text

Focalising dynamic value prediction to CPU's context

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IEE Proceedings - Computers and Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Value prediction (VP) is a relatively new technique that increases performance by eliminating true data dependency constraints. VP architectures allow data dependent instructions to issue and execute speculatively using the predicted value. This technique is built on the concept of value locality, which describes the likelihood of a previously seen value recurring within a storage location. The authors extend dynamic VP by introducing the concept of register-centric prediction instead of instruction-centric prediction. The value localities obtained on some registers of MIPS architecture were quite remarkable leading to the conclusion that VP might be successfully applied, at least on these favourable registers. The idea of attaching a value predictor for the processor's favourable registers is original and might involve new architectural techniques for improving performance and reducing the hardware cost of speculative micro-architectures. The register VP technique consists in predicting the registers' next values based on the previously seen values. It executes the subsequent data dependent instructions using the predicted values. The speculative execution will be validated when the correct values are known. If the value was correctly predicted the critical path is reduced, otherwise the instructions executed with wrong entries must be executed again. The authors examine different favourable register selections and different basic value predictors to capture certain type of value predictabilities from the SPEC benchmarks (1995 and 2000) to obtain higher prediction accuracies. Their results show that there is a time correlation between the names of the destination registers and the values stored in these registers. The simulations show that the hybrid predictor optimally exploits this correlation with an average prediction accuracy of 85.44%, which is quite remarkable (on some benchmarks the values are over 96%). Considering an eight-issue out-of-order superscalar processor it is shown that register-centric VP produces average speedups of 17.30% for the SPECint95 benchmarks, and of 13.58% for the SPECint2000 benchmarks.

Inspec keywords: instruction sets; benchmark testing; parallel architectures

Other keywords: SPECint2000 benchmarks; dynamic value prediction; value locality; SPEC benchmarks; data dependent instructions; register-centric prediction; CPU context; data dependency constraints; speculative instruction execution; speculative micro-architectures; storage location; eight-issue out-of-order superscalar processor; SPECint95 benchmarks

Subjects: Parallel architecture; Machine-oriented languages; Performance evaluation and testing

References

    1. 1)
      • R.L. Rabiner . A tutorial on hidden markov models and selected applications in speech recognition. Proc. IEEE , 2
    2. 2)
      • F. Gabbay , A. Mendelsohn . Using value prediction to increase the power of speculative execution hardware. ACM Trans. Comput. Syst. , 3
    3. 3)
      • Lipasti, M.H., Shen, J.P.: `Exceeding the dataflow limit via value prediction', Proc. 29th Annual ACM/IEEE Int. Symp. on Microarchitecture, Dec. 1996.
    4. 4)
      • Lepak, K.M., Lipasti, M.H.: `On the value locality of store instructions', Proc. 27th Annual Int. Symp. on Computer Architecture, June 2000, Vancouver, Canada.
    5. 5)
      • Gellert, A.: `Contributions to speculative execution of instructions by dynamic register value prediction', 2003, MSc, University ‘Lucian Blaga’, Sibiu, (in Romanian).
    6. 6)
      • Lepak, K.M., Lipasti, M.H.: `Silent stores for free', Proc. 33rd Annual ACM/IEEE Int. Symp. on Microarchitecture (MICRO33), 2000, California, USA.
    7. 7)
      • Calder, B., Reinman, G., Tullsen, D.: `Selective value prediction', Proc. 26th Int. Symp. on Computer Architecture, May 1999, p. 64–74.
    8. 8)
      • Wang, Y., Lee, S., Yew, P.: `Decoupling value prediction on trace processors', Proc. 6th Int. Symp. on High Performance Computer Architecture, 1999.
    9. 9)
      • Joseph, D., Grunwald, D.: `Prefetching using Markov predictors', Proc. 24th Int. Symp. on Computer Architecture, June 1997, p. 252–263.
    10. 10)
      • Sazeides, Y.: `An analysis of value predictability and its application to a superscalar processor', 1999, PhD, University of Wisconsin-Madison, .
    11. 11)
      • Tullsen, D.M., Seng, J.S.: `Storageless value prediction using prior register values', Proc. 26th Int. Symp. on Computer Architecture, May 1999.
    12. 12)
      • Florea, A., Vintan, L., Sima, D.: `Understanding value prediction through complex simulations', Proc. 5th Int. Conf. on Technical Informatics, Oct. 2000, Timisoara, Romania.
    13. 13)
      • Rychlik, B., Faistl, J., Krug, B., Kurland, A., Jung, J., Velev, M., and Shen, J.: Efficient and accurate value prediction using dynamic classification (Carnegie-Mellon University, 1998).
    14. 14)
      • Sodani, A.: `Dynamic instruction reuse', 2000, PhD, University of Wisconsin-Madison, .
    15. 15)
      • Wang, K., Franklin, M.: `Highly accurate data value prediction using hybrid predictors', Proc. 30th Annual ACM/IEEE Int. Symp. on Microarchitecture, Dec. 1997.
    16. 16)
      • Lipasti, M.H., Wilkerson, C.B., Shen, J.P.: `Value locality and load value prediction', Proc. 7th Int. Conf. on Architectural Support for Programming Languages and Operating Systems, October 1996, p. 138–147.
    17. 17)
      • Simplescalar: The SimpleSim tool set, ftp://ftp.cs.wisc.edu/pub/sohi/Code/simplescalar.
http://iet.metastore.ingenta.com/content/journals/10.1049/ip-cdt_20045090
Loading

Related content

content/journals/10.1049/ip-cdt_20045090
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading