access icon openaccess Platform choices and design demands for IoT platforms: cost, power, and performance tradeoffs

The rise of the Internet of Things has led to an explosion of sensor computing platforms. The complexity and applications of IoT devices range from simple devices in vending machines to complex, interactive artificial intelligence in smart vehicles and drones. Developers target more aggressive objectives and protect market share through feature differentiation; they just choose between low-cost, and low-performance CPU-based systems, and high-performance custom platforms with hardware accelerators including GPUs and FPGAs. Both CPU-based and custom designs introduce a variety of design challenges: extreme pressure on time-to-market, design cost, and development risk drive a voracious demand for new CAD technologies to enable rapid, low cost design of effective IoT platforms with smaller design teams and lower risk. In this article, we present a generic IoT device design flow and discuss platform choices for IoT devices to efficiently tradeoff cost, power, performance and volume constraints: CPU-based systems and custom platforms that contain hardware accelerators including embedded GPUs and FPGAs. We demonstrate this design process through a driving application in computer vision. We also present current critical design automation needs for IoT development and demonstrate how our prior work in CAD for FPGAs and SoCs begin to address these needs.

Inspec keywords: field programmable gate arrays; microprocessor chips; Internet of Things

Other keywords: low-performance CPU-based commercial-off-the-shelf systems; Internet of Things; artificial intelligence; high-performance custom platforms; vending machines; complex interactive devices; self-monitoring devices; IoT platforms; computer aided design

Subjects: Logic and switching circuits; Logic circuits; Computer communications; Microprocessor chips; Computer networks and techniques; Microprocessors and microcomputers

References

    1. 1)
      • 25. Krishnamurthy, R.: ‘High-performance energy-efficient reconfigurable accelerators/co-processors for tera-scale multi-core microprocessors’. ARC, 2010.
    2. 2)
    3. 3)
      • 36. Yang, L., Chen, Y., Zuo, W., et al: ‘System-level design solutions: enabling the IoT explosion’. ASICON, 2015.
    4. 4)
      • 23. Vivante Graphics Cores Product Brief, www.vivantecorp.com/Product_Brief.pdf.
    5. 5)
      • 3. ‘Microsoft. NET Gadgeteer’, www.netmf.com/gadgeteer/.
    6. 6)
      • 24. ‘The Parallela Boards’, https://www.parallella.org/board/.
    7. 7)
      • 14. Papakonstantinou, A., Gururaj, K., Stratton, J., et al: ‘FCUDA: enabling efficient compilation of CUDA kernels onto FPGAs’. SASP, 2009.
    8. 8)
      • 37. Rupnow, K., Liang, Y., Li, Y., et al: ‘High level synthesis of stereo matching: productivity, performance, and software constraints’. FPT, 2011, pp. 18.
    9. 9)
      • 20. Zhang, Z., Fan, Y., Jiang, W., et al: ‘Autopilot: A platform-based esl synthesis system’, in Philippe, C., Adam, M. (Eds.): ‘High-level synthesis: from algorithm to digital circuit’ (Springer Netherlands, 2008), pp. 99112.
    10. 10)
      • 31. Zuo, W., Kemmerer, W., Bin Lim, J., et al: ‘A polyhedral-based SystemC modeling and generation framework for effective low-power design space exploration’. ICCAD, 2015.
    11. 11)
      • 12. ‘Xilinx ZYNQ All Programmable SoC’, http://www.xilinx.com/products/silicon-devices/soc/zynq-7000.html.
    12. 12)
      • 17. Vivado HLS, Xilinx Inc, www.xilinx.com/products/design-tools/vivado/integration/esl-design.html.
    13. 13)
      • 13. Betkaoui, B., Thomas, D.B., Luk, W.: ‘Comparing performance and energy efficiency of fpgas and gpus for high productivity computing’. Int. Conf. Field-Programmable Technology (FPT), 2010, December 2010, pp. 94101.
    14. 14)
      • 26. ABI research, https://www.abiresearch.com/press/driven-by-increased-demands-on-healthcare-supplier/.
    15. 15)
      • 11. ‘Altera User Customizable ARM-based SoC’, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/br/br-soc-fpga.pdf.
    16. 16)
      • 39. Foster, H.D.: ‘Trends in functional verification: a 2014 industry study’. DAC, 2015.
    17. 17)
      • 28. ‘NEC Face Recognition’, https://www.necam.com/biometrics/doc.cfm?t=facerecognition.
    18. 18)
    19. 19)
      • 9. ‘AMD Embedded GPUs’, http://www.amd.com/Documents/AMD_Embedded_Radeon_E8860_ProductBrief.pdf.
    20. 20)
      • 10. Nema Embedded GPU, Think Silicon, http://www.think-silicon.com/product_Nema_GPGPU.php.
    21. 21)
      • 27. Satria, M.T., Gurumani, S., Zheng, W., et al: ‘Real-time system-level implementation of a telepresence robot using an embedded gpu platform’. 2016 Design, Automation Test in Europe Conf. Exhibition (DATE), March 2016, pp. 14451448.
    22. 22)
      • 16. Zheng, H., Gurumani, S., Yang, L., et al: ‘High-level synthesis with behavioral level multi-cycle path analysis’. International Conference on Field Programmable Logic, 2013, 2–4 September 2013, doi:10.1109/FPL.2013.6645541.
    23. 23)
      • 33. Yang, L., Gurumani, S., Chen, D., et al: ‘Behavioral-level IP integration in high-level synthesis’. FPT, 2015.
    24. 24)
      • 30. ‘Ayonix Face Matcher’, http://ayonix.com/products/ayonix-facematcher/.
    25. 25)
      • 40. Yang, L., Ikram, M., Gurumani, S., et al: ‘JIT trace-based verification for high-level synthesis’. FPT, 2015.
    26. 26)
      • 8. ‘Mali Graphics Hardware’, www.arm.com/products/multimedia/mali-graphics-hardware/index.php.
    27. 27)
      • 22. NVIDIA Jetson TK1 Embedded Development Kit, http://www.nvidia.com/object/jetson-tk1-embedded-dev-kit.html.
    28. 28)
      • 4. ‘Texas Instruments Internet of Things Featured Products’, http://www.ti.com/ww/en/internet\_of\_things/iot-products.html.
    29. 29)
      • 35. Campbell, K.A., Lin, D., Mitra, S., et al: ‘Hybrid quick error detection (h-qed): accelerator validation and debug using high-level synthesis principles’. 52nd ACM/EDAC/IEEE Design Automation Conf. (DAC), 2015, 2015.
    30. 30)
      • 6. ‘NVIDIA TEGRA X1 Processors’, http://www.nvidia.com/object/tegra-x1-processor.html.
    31. 31)
      • 15. Gurumani, S.T., Cholakkal, H., Liang, Y., et al: ‘High-level synthesis of multiple dependent CUDA kernels on FPGA’. ASP-DAC, 2013, pp. 305312.
    32. 32)
      • 5. ‘Qualcomm Internet of Things Development Platform’, https://developer.qualcomm.com/hardware/iot-cellular-dev.
    33. 33)
      • 18. Czajkowski, T., Aydonat, U., Denisenko, D., et al: ‘From opencl to high-performance hardware on fpgas’. FPL, 2012, pp. 531534.
    34. 34)
      • 29. ‘EUROTECH Face Recognition Systems’, http://www.eurotech.com/en/products/devices/face+recognition+systems.
    35. 35)
      • 7. ‘NVIDIA TEGRA K1 Processors’, http://www.nvidia.com/object/tegra-k1-processor.html.
    36. 36)
      • 19. Canis, A., Choi, J., Aldham, M., et al: ‘Legup: high-level synthesis for fpga-based processor/accelerator systems’. FPGA, 2011, pp. 3336.
    37. 37)
      • 1. ‘Intel Edison’, http://www.intel.com/content/www/us/en/do-it-yourself/edison.html.
    38. 38)
    39. 39)
      • 34. Hong, T., Li, Y., Park, S.-B., et al: ‘Qed: Quick error detection tests for effective post-silicon validation’. IEEE Int. Test Conf. (ITC), 2010, 2010.
    40. 40)
      • 2. ‘Intel Galileo’, http://www.intel.com/content/www/us/en/embedded\\/products/galileo/galileo-overview.html.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cps.2016.0020
Loading

Related content

content/journals/10.1049/iet-cps.2016.0020
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading