Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Control-theoretic dynamic voltage scaling for embedded controllers

Control-theoretic dynamic voltage scaling for embedded controllers

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

For microprocessors used in real-time embedded systems, minimising power consumption is difficult due to the timing constraints. Dynamic voltage scaling (DVS) has been incorporated into modern microprocessors as a promising technique for exploring the trade-off between energy consumption and system performance. However, it remains a challenge to realise the potential of DVS in unpredictable environments, where the system workload cannot be accurately known. By addressing system-level power-aware design for DVS-enabled embedded controllers, an analytical model has been established for the DVS system that encompasses multiple real-time control tasks. From this model, a feedback control based approach to power management is developed to reduce dynamic power consumption, while achieving good application performance. With this approach, the unpredictability and variability of task execution times can be attacked. Thanks to the use of feedback control theory, predictable performance of the DVS system is achieved, which is favourable to real-time applications. Extensive simulations are conducted to evaluate the performance of the proposed approach.

References

    1. 1)
      • V. Gutnik , A.P. Chandrakasan . Embedded power supply for low-power DSP. IEEE Trans. VLSI Syst. , 4 , 425 - 435
    2. 2)
      • C. Lu , J.A. Stankovic , G. Tao , S.H. Son . Feedback control real-time scheduling: framework, modeling, and algorithms. Real-time Syst. , 85 - 126
    3. 3)
      • Wu, Q., Juang, P., Martonosi, M., Clark, D.W.: `Formal online methods for voltage/frequency control in multiple clock domain microprocessors', Proc. ASPLOS-XI, October 2004, Boston, MA, p. 248–259.
    4. 4)
      • Y. Zhu , F. Mueller . Feedback EDF scheduling of real-time tasks exploiting dynamic voltage scaling. Real-Time Syst. , 33 - 63
    5. 5)
      • C. Liu , J. Layland . Scheduling algorithms for multiprogramming in a hard real-time environment. J. ACM , 46 - 61
    6. 6)
      • H.S. Lee , B.K. Kim . Dynamic voltage scaling for digital control system implementation. Real-Time Syst. , 263 - 280
    7. 7)
      • F. Xia , Y.X. Sun . Control-scheduling codesign: a perspective on integrating control and computing. Dyn. Continuous Discrete Impulsive Syst. B , 1352 - 1358
    8. 8)
      • H. Jin , D.L. Wang , H.A. Wang , H. Wang . Feedback fuzzy-DVS scheduling design of control tasks. J. Supercomput. , 2 , 147 - 162
    9. 9)
      • Pillai, P., Shin, K.G.: `Real-time dynamic voltage scaling for low power embedded operating systems', Proc. 18th ACM SOSP, 2001, Banff, Alberta, Canada, p. 89–102.
    10. 10)
      • Alimonda, A., Acquaviva, A., Carta, S., Pisano, A.: `A control theoretic approach to run-time energy optimisation of pipelined processing in MPSoCs', Proc. DATE, 2006, Munich, Germany, p. 876–877.
    11. 11)
      • N.K. Jha . Low-power system scheduling, synthesis and displays. IEE Proc. Comput. Digit. Tech. , 3 , 344 - 352
    12. 12)
      • J.F. Mao , C.G. Cassandras , Q.C. Zhao . Optimal dynamic voltage scaling in energy-limited nonpreemptive systems with real-time constraints. IEEE Trans. Mob. Comput. , 6 , 678 - 688
    13. 13)
      • J. Choi , H. Cha . Memory-aware dynamic voltage scaling for multimedia applications. IEE Proc. Comput. Digit. Tech. , 2 , 130 - 136
    14. 14)
      • A. Andrei , M. Schmitz , P. Eles , Z. Peng , B.M. Al-Hashimi . Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. IEE Proc. Comput. Digit. Tech. , 1 , 28 - 38
    15. 15)
      • Xia, F.: `Feedback scheduling of real-time control systems with resource constraints', 2006, PhD, Zhejiang University.
    16. 16)
      • J.L. Hellerstein , Y.X. Diao , S. Parekh , D. Tilbury . (2004) Feedback control of computing systems.
    17. 17)
      • K.-E. Årzén , A. Robertsson , D. Henriksson , M. Johansson , H. Hjalmarsson , K.H. Johansson . Conclusions of the ARTIST2 roadmap on control of computing systems. ACM SIGBED Rev. , 3 , 11 - 20
    18. 18)
      • Sinha, A., Chandrakasan, A.P.: `Energy efficient real-time scheduling', Proc. ICCAD, 2001, p. 458–463.
    19. 19)
      • Xia, F., Sun, Y.X.: `An enhanced dynamic voltage scaling scheme for energy-efficient embedded real-time control systems', Proc. Int. Conf. on Computational Science and Its Applications, 2006, 3983, p. 539–548, Lecture Notes in Computer Science.
    20. 20)
      • O.S. Unsal , I. Koren . System-level power-aware design techniques in real-time systems. Proc. IEEE , 7 , 1055 - 1069
    21. 21)
      • Soria-Lopez, A., Mejia-Alvarez, P., Cornejo, J.: `Feedback scheduling of power-aware soft real-time tasks', Proc. 6th Mexican Int. Conf. on Computer Science, September 2005, p. 266–273.
    22. 22)
      • Xia, F., Dai, X.H., Wang, X.D., Sun, Y.X.: `Feedback scheduling of real-time control tasks in power-aware embedded systems', Proc. 2nd Int. Conf. on Embedded Software and Systems, December 2005, Xi'an, China, IEEE CS Press, p. 513–518.
    23. 23)
      • Varma, A., Ganesh, B., Sen, M., Choudhury, S.R., Srinivasan, L., Bruce, J.: `A control-theoretic approach to dynamic voltage scheduling', Proc. CASES, November 2003, Georgia, USA, p. 255–266.
    24. 24)
      • Lu, Z.J., Hein, J., Humphrey, M., Stan, M., Lach, J., Skadron, K.: `Control-theoretic dynamic frequency and voltage scaling for multimedia workloads', Proc. CASES, 2002, p. 156–163.
    25. 25)
      • Zhao, W.H., Xia, F.: `An efficient approach to energy saving in microcontrollers', Proc. Asia-Pacific Computer Systems Architecture Conf., Lecture Notes in Computer Science, 2006, 4186, p. 595–601.
    26. 26)
      • Aydin, H., Devadas, V., Zhu, D.K.: `System-level energy management for periodic real-time tasks', Proc. 27th IEEE RTSS, December 2006, Rio de Janeiro, Brazil.
    27. 27)
      • Lu, Z.J., Lach, J., Stan, M., Skadron, K.: `Reducing multimedia decode power using feedback control', Proc. 21st Int. Conf. on Computer Design, 2003, p. 489–496.
    28. 28)
      • Simon, D., Robert, D., Sename, O.: `Robust control/scheduling co-design: application to robot control', Proc. IEEE RTAS, March 2005, California, USA, p. 118–127.
    29. 29)
      • W.H. Zhao , F. Xia . Dynamic voltage scaling with asynchronous period adjustment for embedded controllers. Dyn. Continuous Discrete Impulsive Syst. B , 514 - 519
    30. 30)
      • N. Kandasamy , S. Abdelwahed , G. Sharp , J. Hayes , O. Babaoglu . (2005) An online control framework for designing self-optimizing computing systems: application to power management, Self-star properties in complex information systems’, Lecture Notes in Computer Science.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20070112
Loading

Related content

content/journals/10.1049/iet-cdt_20070112
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address