Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Adaptive routing strategies for fault-tolerant on-chip networks in dynamically reconfigurable systems

Adaptive routing strategies for fault-tolerant on-chip networks in dynamically reconfigurable systems

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

An investigation into an effective and low-complexity adaptive routing strategy based on stochastic principles for an asynchronous network-on-chip platform that includes dynamically reconfigurable computing nodes is presented. The approach is compared with classic deterministic routing and it is shown to have good properties in terms of throughput and excellent fault-tolerance capabilities. The challenge of how to deliver reliability is one of the problems that multiprocessor system architects and manufactures will face as feature sizes and voltage supplies shrink and deep-submicron effects reduce the ability to carry out deterministic computing. It is likely that a new type of deep-submicron complex multicore systems will emerge which will be required to deliver high performance within strict energy and area budgets and operate over unreliable silicon. Within this context, the paper studies an on-chip communication infrastructure suitable for these systems.

References

    1. 1)
      • Bhojwani, P., Singhal, R., Choi, G.: `Forward error correction for on-chip networks', Proc. Workshop for Unique Chips and Systems (UCAS-2), March 2006.
    2. 2)
      • Li, L., Vijaykrishnan, N., Kandemir, M., Irwin, M.J.: `Adaptive error protection for energy efficiency', 2003 Int. Conf. Computer Aided Design (ICCAD'03), 9–13 November 2003, San Jose, CA, USA, p. 2–7.
    3. 3)
      • D.A. Edwards , A. Bardsley . Balsa: an asynchronous hardware synthesis language. Comput. J. , 1 , 12 - 18
    4. 4)
      • M. Coppola , S. Curaba , M.D. Grammatikakis . OCCN: a NoC modeling framework for design exploration. J. Sys. Archit. , 129 - 163
    5. 5)
      • Ali, M., Welzl, M., Zwicknagl, M.: `Considerations for fault-tolerant network on chips', Proc. 17th Int. Conf. Microelectronics (ICM), 2005.
    6. 6)
      • Pirretti, M., Link, G.M., Brooks, R.R.: `Fault tolerant algorithms for network-on-chip interconnect', Proc. ISVLSI, 2004.
    7. 7)
      • J. Bainbridge , S.B. Furber . Chain: a delay-insensitive chip area interconnect. IEEE Micro , 5 , 16 - 23
    8. 8)
      • T. Lehtonen , P. Liljeberg , J. Plosila . Online reconfigurable self-timed links for fault tolerant NoC. VLSI Des.
    9. 9)
      • D. Bursky . We must hold the line on soaring ASIC design costs. Electron. Des. , 22 - 25
    10. 10)
      • Ogras, U.Y., Hu, J., Marculescu, R.: `Key research problems in NoC design: a holistic perspective', Int. Conf. Hardware–Software Codesign and System Synthesis, September 2005.
    11. 11)
      • S. Murali , T. Theocharides , N. Vijaykrishnan . Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comput. , 5 , 434 - 442
    12. 12)
      • D. Bertozzi , L. Benini , G. De Micheli . Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , 6 , 818 - 831
    13. 13)
      • J.V. Woods , P. Day , S.B. Furber . AMULET1: an asynchronous ARM microprocessor. IEEE Trans. Comput. , 375 - 398
    14. 14)
      • Wiklund, D., Liu, D.: `SoCBUS: switched network on chip for hard real time embedded systems', Int. Parallel and Distributed Processing Symp. (IPDPS'03), 2003, France, p. 78a.
    15. 15)
      • Heo, S., Asanovic, K.: `Replacing global wires with an on-chip network: a power analysis', Int. Symp. Low Power Electronics and Design (ISLPED'05), August 2005, San Diego, CA.
    16. 16)
      • Bainbridge, J., Plana, L.A., Furber, S.B.: `The design and test of a smartcard chip using a CHAIN self-timed network-on-chip', Design, Automation and Test in Europe Conf. and Exhibition Designers' Forum (DATE'04), 2004, p. 30274.
    17. 17)
      • Dumitras, T., Marculescu, R.: `On-chip stochastic communication', Proc. Design Automation and Test in Europe (DATE), March 2003.
    18. 18)
      • Marculescu, R., Marculescu, D., Pileggi, L.: `Toward an integrated design methodology for fault-tolerant, multiple clock/voltage integrated systems', Proc. IEEE Int. Conf. Computer Design (ICCD), October 2004, San Jose, CA.
    19. 19)
      • Park, D., Nicopoulos, C., Kim, J.: `Exploring fault-tolerant network-on-chip architectures', Proc. 2006 Int. Conf. Dependable Systems and Networks, June 2006, p. 93–104.
    20. 20)
      • L. Benini , G. De Micheli . Networks on chips: a new SoC paradigm. IEEE Comput. , 1 , 70 - 80
    21. 21)
      • Shivakumar, P., Kistler, M., Keckler, S.W.: `Modeling the effect of technology trends on the soft error rate of combinational logic', Proc. Dependable Systems and Networks (DSN), 2002, p. 389–398.
    22. 22)
      • Furber, S., Bainbridge, J.: `Future trends in SoC interconnect', Proc. 2005 Int. Symp. System-on-Chip, November 2005, Tampere, Finland, p. 183–186.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20060175
Loading

Related content

content/journals/10.1049/iet-cdt_20060175
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address