Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Low overhead DFT using CDFG by modifying controller

Low overhead DFT using CDFG by modifying controller

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

A novel design-for-test (DFT) method that requires minor modifications to the controller in the register-transfer level (RTL) description of a circuit is presented. The control/data flow graph representation of an RTL circuit is used for analysing the testability of individual RTL operations within the RTL circuit. Using a non-scan arrangement, existing data paths are utilised to provide controllability and observability to RTL operations. Furthermore, additional data paths are introduced by altering the controller states or adding new transitions. This method considerably reduces the test application time by ignoring unnecessary control states in the test process. The proposed method is applied to behavioural and RTL benchmarks. The results show the effectiveness of this method when compared with some other DFT insertion methods.

References

    1. 1)
      • Flottes, M.L., Rouzeyre, B., Vople, L.: `A controller resynthesis based method for improving datapath testability', Proc. Int. Symp. on Circuits and Systems, 2000, p. 346–350.
    2. 2)
      • M. Potkonjak , S. Dey , J.L. Wong . Optimizing designs using the addition of deflection operations.
    3. 3)
      • Lee, H.K., Ha, D.S.: `HOPE: an efficient parallel fault simulator', Proc. Design Automation Conf., 1992, p. 336–340.
    4. 4)
      • N. Nicolici , B. Al-Hashimi , A.D. Brown , A.C. Williams . BIST hardware synthesis for RTL data path based on test compatibility classes. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , 11 , 1375 - 1385
    5. 5)
      • Niermann, T.M., Patel, J.H.: `HITEC: A test generation package for sequential circuits', Proc. European Design Automation Conf., 1991, p. 214–218.
    6. 6)
      • Chickermane, V., Patel, J.H.: `A fault oriented partial scan design approach', Proc. Int. Conf. Computer-Aided Design, 1991, p. 400–403.
    7. 7)
    8. 8)
      • Y. Makris , J. Collins , A. Orailoglu . Fast hierarchical test path construction for circuits with DFT-free controller-datapath interfaces. J. Electron. Test., Theory Appl. (JETA) , 29 - 42
    9. 9)
      • Makris, Y., Orailoglu, A.: `Test requirement analysis for low cost hierarchical test path construction', Proc. 11th Asian Test Symp. (ATS'02), 2002, p. 134–139.
    10. 10)
      • Inoue, M., Suzuki, K., Okamoto, H., Fujiwara, H.: `Test synthesis for datapaths using datapath-controller functions', Proc. 12th Asian Test Symp. (ATS'03), 2003, p. 294–299.
    11. 11)
      • S. Dey , M. Potkonjak . Nonscan design-for-testability techniques using RT-level design information. IEEE Trans. Comput.‐Aided Des. , 1488 - 1506
    12. 12)
      • Wada, H., Masuzawa, T., Saluja, K.K., Fujiwara, H.: `Design for strong testability of RTL data paths to provide complete fault efficiency', Proc. 13th Int. Conf. on VLSI Design, 2000, p. 300–305.
    13. 13)
      • Lee, H., Ha, D.: `On the generation of test patterns for combinational circuits', Technical Report 12-93, 1993, Department of Electrical Engineering, Virginia Polytechnic Institute and State University.
    14. 14)
      • Makris, Y., Orailoglu, A.: `DFT guidance through RTL test justification and propagation analysis', Proc. Int. Test Conf. (ITC'98), 1998, p. 668–677.
    15. 15)
    16. 16)
      • Y. Makris , A. Orailoglu . RTL test justification and propagation analysis for modular designs. J. Electron. Test. Theory Appl. (JETA) , 105 - 120
    17. 17)
      • G. De Micheli . (1994) Synthesis and optimization of digital circuits.
    18. 18)
      • Ravi, S., Lakshminarayana, G., Jha, N.K.: `TAO: regular expression based high-level testability analysis and optimization', Int. Test Conf., 1998, p. 331–340.
    19. 19)
      • Makris, Y., Patel, V., Orailoglu, A.: `Efficient transparency extraction and utilization in hierarchical test', Proc. 19th VLSI Test Symp. (VTS'01), 2001, p. 246–251.
    20. 20)
      • Ohtake, S., Wada, H., Masuzawa, T., Fujiwara, H.: `A non-scan DFT method at register-transfer level to achieve complete fault efficiency', Proc. ASP-DAC, 2000, p. 599–604.
    21. 21)
      • Makris, Y., Collins, J., Orailoglu, A.: `Fast hierarchical test path construction for DFT-free controller-datapath circuits', Proc. 9th Asian Test Symp. (ATS'00), 2000, p. 185–190.
    22. 22)
      • Ravi, S., Ghosh, I., Roy, R.K., Dey, S.: `Controller resynthesis for testability enhancement', Proc. 11th Int. Conf. on VLSI Design, 1998, p. 193–198.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt_20050133
Loading

Related content

content/journals/10.1049/iet-cdt_20050133
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address