access icon free Ten years of hardware Trojans: a survey from the attacker's perspective

Hardware Trojan detection techniques have been studied extensively. However, to develop reliable and effective defenses, it is important to figure out how hardware Trojans are implemented in practical scenarios. The authors attempt to make a review of the hardware Trojan design and implementations in the last decade and also provide an outlook. Unlike all previous surveys that discuss Trojans from the defender's perspective, for the first time, the authors study the Trojans from the attacker's perspective, focusing on the attacker's methods, capabilities, and challenges when the attacker designs and implements a hardware Trojan. First, the authors present adversarial models in terms of the adversary's methods, adversary's capabilities, and adversary's challenges in seven practical hardware Trojan implementation scenarios: in-house design team attacks, third-party intellectual property vendor attacks, computer-aided design tools attacks, fabrication stage attacks, testing stage attacks, distribution stage attacks, and field-programmable gate array Trojan attacks. Second, the authors analyse the hardware Trojan implementation methods under each adversarial model in terms of seven aspects/metrics: hardware Trojan attack scenarios, the attacker's motivation, feasibility, detectability (anti-detection capability), protection and prevention suggestions for the designer, overhead analysis, and case studies of Trojan implementations. Finally, future directions on hardware Trojan attacks and defenses are also discussed.

Inspec keywords: integrated circuit testing; field programmable gate arrays; industrial property; integrated circuit manufacture; integrated circuit design; circuit CAD; invasive software

Other keywords: copyright owner; overhead analysis; fabrication stage attacks; hardware Trojan design; hardware Trojan implementation methods; computer-aided design tool attacks; field-programmable gate array Trojan attacks; third-party intellectual property vendor attacks; distribution stage attacks; hardware Trojan defences; integrated circuit industry; hardware Trojan attack scenarios; hardware Trojan detection techniques; adversarial model; in-house design team attacks

Subjects: Security aspects of hardware; Security aspects; Logic and switching circuits; Semiconductor integrated circuit design, layout, modelling and testing; Electronic engineering computing; Logic circuits; Semiconductor industry; Legal aspects; Computer-aided circuit analysis and design

References

    1. 1)
      • 42. Yasin, M., Sinanoglu, O., Rajendran, J.: ‘Testing the trustworthiness of IC testing: an oracle-less attack on IC camouflaging’, IEEE Trans. Inf. Forensic Secur., 2017, 12, (11), pp. 26682682.
    2. 2)
      • 53. Xiao, K., Zhang, X., Tehranipoor, M.: ‘A clock sweeping technique for detecting hardware Trojans impacting circuits delay’, IEEE Des. Test, 2013, 30, (2), pp. 2634.
    3. 3)
      • 5. Agrawal, D., Baktir, S., Karakoyunlu, D., et al: ‘Trojan detection using IC fingerprinting’. Proc. IEEE Symp. on Security and Privacy, Oakland, USA, May 2007, pp. 296310.
    4. 4)
      • 61. Huang, Y., Bhunia, S., Mishra, P.: ‘Scalable test generation for Trojan detection using side channel analysis’, IEEE Trans. Inf. Forensic Secur., 2018, 13, (11), pp. 27462760.
    5. 5)
      • 69. Shi, Q., Tehranipoor, M.M., Forte, D.: ‘Obfuscated built-in self-authentication with secure and efficient wire-lifting’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2018, 38, (11), pp. 19811994.
    6. 6)
      • 57. Xue, M., Hu, A., Li, G.: ‘Detecting hardware Trojan through heuristic partition and activity driven test pattern generation’. Proc. Communications Security Conf., Beijing, China, May 2014, pp. 16.
    7. 7)
      • 32. Liu, Y., Jin, Y., Nosratinia, A., et al: ‘Silicon demonstration of hardware Trojan design and detection in wireless cryptographic ICs’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2017, 25, (4), pp. 15061519.
    8. 8)
      • 111. Xue, M., Bian, R., Wang, J., et al: ‘Building an accurate hardware Trojan detection technique from inaccurate simulation models and unlabelled ICs’, IET Comput. Digit. Tech., 2019, 13, (4), pp. 348359.
    9. 9)
      • 74. Rajendran, J.J.V., Sinanoglu, O., Karri, R.: ‘Building trustworthy systems using untrusted components: a high-level synthesis approach’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2016, 24, (9), pp. 29462959.
    10. 10)
      • 6. Ghandali, S., Becker, G.T., Holcomb, D., et al: ‘A design methodology for stealthy parametric Trojans and its application to bug attacks’. Int. Conf. on Cryptographic Hardware and Embedded Systems, Santa Barbara, USA, August 2016, pp. 625647.
    11. 11)
      • 22. Elnaggar, R., Chakrabarty, K., Tahoori, M.B.: ‘Hardware Trojan detection using changepoint-based anomaly detection techniques’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2019, 27, (12), pp. 27062719.
    12. 12)
      • 125. Frey, J., Yu, Q.: ‘Exploiting state obfuscation to detect hardware Trojans in NoC network interfaces’. Proc. IEEE 58th Int. Midwest Symp. on Circuits and Systems, Fort Collins, USA, August 2015, pp. 14.
    13. 13)
      • 4. Wu, T.F., Ganesan, K., Hu, Y.A., et al: ‘TPAD: hardware Trojan prevention and detection for trusted integrated circuits’, IEEE Trans. Comput-Aided Des. Integr. Circuits Syst., 2016, 35, (4), pp. 521534.
    14. 14)
      • 40. Lin, L., Kasper, M., Güneysu, T., et al: ‘Trojan side-channels: lightweight hardware Trojans through side-channel engineering’. Int. Workshop on Cryptographic Hardware and Embedded Systems, Lausanne, Switzerland, September 2009, pp. 382395.
    15. 15)
      • 116. Odetola, T.A., Mohammed, H.R., Hasan, S.R.: ‘A stealthy hardware Trojan exploiting the architectural vulnerability of deep learning architectures: input interception attack (IIA)’, arXiv:1911.00783, 2019.
    16. 16)
      • 77. Salmani, H., Tehranipoor, M.: ‘Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level’. Proc. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, New York, USA, October 2013, pp. 190195.
    17. 17)
      • 89. Xue, M., Wang, J., Hu, A.: ‘An enhanced classification-based golden chips-free hardware Trojan detection technique’. Proc. IEEE Asian Hardware-Oriented Security and Trust, Yilan, Taiwan, December 2016, pp. 16.
    18. 18)
      • 14. Karri, R., Rajendran, J., Rosenfeld, K., et al: ‘Trustworthy hardware: identifying and classifying hardware Trojans’, IEEE Comput., 2010, 43, (10), pp. 3946.
    19. 19)
      • 128. Vijayakumar, A., Patil, V.C., Holcomb, D.E., et al: ‘Physical design obfuscation of hardware: a comprehensive investigation of device and logic-level techniques’, IEEE Trans. Inf. Forensics Secur., 2017, 12, (1), pp. 6477.
    20. 20)
      • 84. Narasimhan, S., Chakraborty, R.S., Chakraborty, S.: ‘Hardware IP protection during evaluation using embedded sequential Trojan’, IEEE Des. Test Comput., 2012, 29, (3), pp. 7079.
    21. 21)
      • 45. Swierczynski, P., Fyrbiak, M., Koppe, P., et al: ‘FPGA Trojans through detecting and weakening of cryptographic primitives’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2015, 34, (8), pp. 12361249.
    22. 22)
      • 81. Veeranna, N., Schäfer, B.C.: ‘Hardware Trojan detection in behavioral intellectual properties (IP's) using property checking techniques’, IEEE Trans. Emerg. Top. Comput., 2017, 5, (4), pp. 576585.
    23. 23)
      • 91. Contreras, G.K., Rahman, M.T., Tehranipoor, M.: ‘Secure split-test for preventing IC piracy by untrusted foundry and assembly’. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, New York, USA, October 2013, pp. 196203.
    24. 24)
      • 10. ‘The intel management engine: an attack on computer users’ freedom’. Available at https://www.fsf.org/blogs/sysadmin/the-management-engine-an-attack-on-computer-users-freedom, 2018.
    25. 25)
      • 17. Zhang, J., Xu, Q.: ‘On hardware Trojan design and implementation at register-transfer level’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, Austin, USA, June 2013, pp. 107112.
    26. 26)
      • 19. Subraman, K.S., Antonopoulos, A., Abotabl, A.A., et al: ‘Demonstrating and mitigating the risk of an FEC-based hardware Trojan in wireless networks’, IEEE Trans. Inf. Forensic Secur., 2019, 14, (10), pp. 27202734.
    27. 27)
      • 103. Krieg, C., Wolf, C., Jantsch, A., et al: ‘Toggle MUX: how X-optimism can lead to malicious hardware’. Proc. 54th Annual Design Automation Conf. (DAC), Austin, USA, June 2017, pp. 16.
    28. 28)
      • 83. Liu, C., Rajendran, J., Yang, C., et al: ‘Shielding heterogeneous MPSoCs from untrustworthy 3PIPs through security-driven task scheduling’, IEEE Trans. Emerg. Top. Comput., 2014, 2, (4), pp. 461472.
    29. 29)
      • 58. Fujimoto, D., Nin, S., Hayashi, Y.I., et al: ‘A demonstration of a HT-detection method based on impedance measurements of the wiring around ICs’, IEEE Trans. Circuits Syst. II, Express Briefs, 2018, 65, (10), pp. 13201324.
    30. 30)
      • 115. Ye, J., Hu, Y., Li, X.: ‘Hardware Trojan in FPGA CNN accelerator’. IEEE 27th Asian Test Symp., Hefei, China, October 2018, pp. 6873.
    31. 31)
      • 94. Jyothi, V., Rajendran, J.J.V.: ‘Hardware Trojan attacks in FPGA and protection approaches’, in Bhunia, S., Tehranipoor, M. (Eds.): ‘The hardware Trojan war: Attacks, myths, and defenses’ (Springer, Switzerland, 2018), pp. 345368.
    32. 32)
      • 68. Xiao, K., Forte, D., Tehranipoor, M.: ‘A novel built-in self-authentication technique to prevent inserting hardware Trojans’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2014, 33, (12), pp. 17781791.
    33. 33)
      • 18. Fern, N., San, I., Koç, C.K., et al: ‘Hiding hardware Trojan communication channels in partially specified SoC bus functionality’, IEEE Trans. Comput-Aided Des. Integr. Circuits Syst., 2017, 36, (9), pp. 14351444.
    34. 34)
      • 26. Reece, T., Limbrick, D.B., Wang, X., et al: ‘Stealth assessment of hardware Trojans in a microcontroller’. Proc. IEEE Int. Conf. on Computer Design, Montreal, Canada, September 2012, pp. 139142.
    35. 35)
      • 70. Patnaik, S., Ashraf, M., Sinanoglu, O., et al: ‘A modern approach to IP protection and Trojan prevention: split manufacturing for 3D ICs and obfuscation of vertical interconnects’, IEEE Trans. Emerg. Top. Comput., 2019, pp. 118, Early access.
    36. 36)
      • 27. Karri, R., Rajendran, J., Rosenfeld, K.: ‘Trojan taxonomy’, in Tehranipoor, M., Wang, C. (Eds.): ‘Introduction to hardware security and trust’ (Springer, USA, 2012), pp. 325338.
    37. 37)
      • 37. Bhasin, S., Danger, J.L., Guilley, S., et al: ‘Hardware Trojan horses in cryptographic IP cores’. Workshop on Fault Diagnosis and Tolerance in Cryptography, Alamitos, USA, August 2013, pp. 1529.
    38. 38)
      • 86. Pilato, C., Basu, K., Regazzoni, F., et al: ‘Black-hat high-level synthesis: myth or reality?’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2019, 27, (4), pp. 913926.
    39. 39)
      • 64. Zhang, X., Tehranipoor, M.: ‘RON: an on-chip ring oscillator network for hardware Trojan detection’. Proc. Conf. on Design, Automation and Test in Europe, Grenoble, France, March 2011, pp. 16.
    40. 40)
      • 121. Ali, S.S., Chakraborty, R.S., Mukhopadhyay, D., et al: ‘Multi-level attacks: an emerging security concern for cryptographic hardware’. Proc. Conf. on Design, Automation and Test in Europe, Grenoble, France, March 2011, pp. 14.
    41. 41)
      • 65. Rajendran, J., Jyothi, V., Sinanoglu, O., et al: ‘Design and analysis of ring oscillator based design-for-trust technique’. Proc. IEEE VLSI Test Symp., Dana Point, USA, May 2011, pp. 105110.
    42. 42)
      • 98. Pino, Y., Jyothi, V., French, M.: ‘Intra-die process variation aware anomaly detection in FPGAs’. IEEE Int. Test Conf., Seattle, USA, October 2014, pp. 16.
    43. 43)
      • 79. Love, E., Jin, Y., Makris, Y.: ‘Proof-carrying hardware intellectual property: a pathway to trusted module acquisition’, IEEE Trans. Inf. Forensic Secur., 2012, 7, (1), pp. 2540.
    44. 44)
      • 15. Shakya, B., He, T., Salmani, H., et al: ‘Benchmarking of hardware Trojans and maliciously affected circuits’, J. Hardware Syst. Secur., 2017, 1, (1), pp. 85102.
    45. 45)
      • 39. Shiyanovskii, Y., Wolff, F., Rajendran, A., et al: ‘Process reliability based Trojans through NBTI and HCI effects’. Proc. Conf. on Adaptive Hardware and Systems, Anaheim, California, June 2010, pp. 215222.
    46. 46)
      • 43. Swierczynski, P., Fyrbiak, M., Koppe, P., et al: ‘Interdiction in practice-hardware Trojan against a high-security USB flash drive’, J. Cryptogr. Eng., 2017, 7, (3), pp. 199211.
    47. 47)
      • 107. Kulkarni, A., Pino, Y., Mohsenin, T.: ‘SVM-based real-time hardware Trojan detection for many-core platform’. Int. Symp. on Quality Electronic Design, Santa Clara, USA, March 2016, pp. 362367.
    48. 48)
      • 59. Nguyen, L.N., Cheng, C.L., Prvulovic, M., et al: ‘Creating a backscattering side channel to enable detection of dormant hardware Trojans’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2019, 27, (7), pp. 15611574.
    49. 49)
      • 2. Tehranipoor, M., Koushanfar, F.: ‘A survey of hardware Trojan taxonomy and detection’, IEEE Des. Test Comput., 2010, 27, (1), pp. 1025.
    50. 50)
      • 67. Nahiyan, A., Xiao, K., Yang, K., et al: ‘AVFSM: A framework for identifying and mitigating vulnerabilities in FSMs’. Proc. 53rd Annual Design Automation Conf. (DAC), Austin, USA, June 2016, pp. 16.
    51. 51)
      • 38. Kumar, R., Jovanovic, P., Burleson, W., et al: ‘Parametric Trojans for fault-injection attacks on cryptographic hardware’. Workshop on Fault Diagnosis and Tolerance in Cryptography, Busan, South Korea, September 2014, pp. 1828.
    52. 52)
      • 127. Hoque, T., Yang, K., Karam, R., et al: ‘Hidden in plaintext: an obfuscation-based countermeasure against FPGA bitstream tampering attacks’, ACM Trans. Des. Autom. Electr. Syst., 2020, 25, (1), pp. 132.
    53. 53)
      • 29. Gallais, J.F., Großschädl, J., Hanley, N., et al: ‘Hardware Trojans for inducing or amplifying side-channel leakage of cryptographic software’. Int. Conf. on Trusted Systems, Beijing, China, December 2011, pp. 253270.
    54. 54)
      • 112. Hasegawa, K., Oya, M., Yanagisawa, M., et al: ‘Hardware Trojans classification for gate-level netlists based on machine learning’. Proc. IEEE Int. Symp. on On-Line Testing and Robust System Design, Sant Feliu de Guixols, Spain, July 2016, pp. 203206.
    55. 55)
      • 46. Krieg, C., Wolf, C., Jantsch, A.: ‘Malicious LUT: a stealthy FPGA Trojan injected and triggered by the design flow’. Proc. 35th Int. Conf. on Computer-Aided Design, Austin, USA, November 2016, pp. 18.
    56. 56)
      • 87. Basu, K., Saeed, S.M., Pilato, C., et al: ‘CAD-base: an attack vector into the electronics supply chain’, ACM Trans. Des. Autom. Electron. Syst., 2019, 24, (4), pp. 38:138:30.
    57. 57)
      • 71. Li, M., Yu, B., Lin, Y., et al: ‘A practical split manufacturing framework for Trojan prevention via simultaneous wire lifting and cell insertion’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2018, 38, (9), pp. 15851598.
    58. 58)
      • 56. Xue, M., Liu, W., Hu, A., et al: ‘Detecting hardware Trojan through time domain constrained estimator based unified subspace technique’, IEICE Trans. Inf. Syst., 2014, 97-D, (3), pp. 606609.
    59. 59)
      • 35. ‘The coq proof assistant’. Available at https://coq.inria.fr/, 2019.
    60. 60)
      • 90. Bian, R., Xue, M., Wang, J.: ‘Building trusted golden models-free hardware Trojan detection framework against untrustworthy testing parties using a novel clustering ensemble technique’. Proc. IEEE Int. Conf. on Trust, Security and Privacy in Computing and Communications, New York, USA, July 2018, pp. 14581463.
    61. 61)
      • 30. Reece, T., Robinson, W.H.: ‘Analysis of data-leak hardware Trojans in AES cryptographic circuits’. Proc. IEEE Int. Conf. on Technologies for Homeland Security, Boston, USA, November 2013, pp. 467472.
    62. 62)
      • 102. Zhang, Z., Njilla, L., Kamhoua, C.A., et al: ‘Thwarting security threats from malicious FPGA tools with novel FPGA-oriented moving target defense’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2018, 27, (3), pp. 665678.
    63. 63)
      • 109. Bian, R., Xue, M., Wang, J.: ‘A novel golden models-free hardware Trojan detection technique using unsupervised clustering analysis’. Proc. Int. Conf. on Cloud Computing and Security, Haikou, China, June 2018, pp. 634646.
    64. 64)
      • 11. ‘Intel x86s hide another CPU that can take over your machine (you can't audit it)’, https://boingboing.net/2016/06/15/intel-x86-processors-ship-with.html, 2016.
    65. 65)
      • 92. Rahman, M.T., Forte, D., Shi, Q., et al: ‘CSST: preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly’. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI Nanotechnology Systems, Amsterdam, The Netherlands, October 2014, pp. 4651.
    66. 66)
      • 113. Elnaggar, R., Chakrabarty, K.: ‘Machine learning for hardware security: opportunities and risks’, J. Electron. Test., 2018, 34, (2), pp. 183201.
    67. 67)
      • 9. Yang, K., Hicks, M., Dong, Q., et al: ‘A2: analog malicious hardware’. Proc. IEEE Symp. on Security and Privacy, San Jose, USA, May 2016, pp. 1837.
    68. 68)
      • 47. Marchand, C., Francq, J.: ‘Low-level implementation and side-channel detection of stealthy hardware Trojans on field programmable gate arrays’, IET Comput. Digit. Tech., 2014, 8, (6), pp. 246255.
    69. 69)
      • 96. Söll, O., Korak, T., Muehlberghuber, M., et al: ‘EM-based detection of hardware Trojans on FPGAs’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, Arlington, USA, May 2014, pp. 8487.
    70. 70)
      • 28. Dash, P., Perkins, C., Gerdes, R.M.: ‘Remote activation of hardware Trojans via a covert temperature channel’. Int. Conf. on Security and Privacy in Communication Systems, Dallas, USA, October 2015, pp. 294310.
    71. 71)
      • 23. Kumaki, T., Yoshikawa, M., Fujino, T.: ‘Cipher-destroying and secret-key-emitting hardware Trojan against AES core’. Proc. IEEE Int. Midwest Symp. on Circuits and Systems, Columbus, USA, August 2013, pp. 408411.
    72. 72)
      • 36. Kaji, S., Kinugawa, M., Fujimoto, D., et al: ‘Data injection attack against electronic devices with locally weakened immunity using a hardware Trojan’, IEEE Trans. Electromagn. Compat., 2018, 61, (4), pp. 11151121.
    73. 73)
      • 95. Mal-Sarkar, S., Krishna, A., Ghosh, A., et al: ‘Hardware Trojan attacks in FPGA devices: threat analysis and effective countermeasures’. Proc. Great Lakes Symp. on VLSI, Houston, USA, May 2014, pp. 287292.
    74. 74)
      • 97. Chen, Z., Guo, S., Wang, J., et al: ‘Toward FPGA security in IoT: a new detection technique for hardware Trojans’, IEEE Internet Things J., 2019, 6, (4), pp. 70617068.
    75. 75)
      • 60. Chakraborty, R.S., Wolff, F., Paul, S., et al: ‘MERO: a statistical approach for hardware Trojan detection’. Int. Workshop on Cryptographic Hardware and Embedded Systems, Lausanne, Switzerland, September 2009, pp. 396410.
    76. 76)
      • 1. Bhunia, S., Hsiao, M.S., Banga, M., et al: ‘Hardware Trojan attacks: threat analysis and countermeasures’, Proc. IEEE, 2014, 102, (8), pp. 12291247.
    77. 77)
      • 120. Bhunia, S., Tehranipoor, M.: ‘The hardware Trojan war: attacks, myths, and defenses’ (Springer, Switzerland, 2017).
    78. 78)
      • 8. Adee, S.: ‘The hunt for the kill switch’, IEEE Spectr., 2008, 45, (5), pp. 3439.
    79. 79)
      • 24. King, S.T., Tucek, J., Cozzie, A., et al: ‘Designing and implementing malicious hardware’. Proc. USENIX Workshop on Large-Scale Exploits and Emergent Threats, San Francisco, USA, April 2008, pp. 18.
    80. 80)
      • 123. Dupuis, S., Ba, P., Natale, G.D., et al: ‘A novel hardware logic encryption technique for thwarting illegal overproduction and hardware Trojans’. Proc. IEEE 20th Int. On-Line Testing Symp., Girona, Spain, July 2014, pp. 4954.
    81. 81)
      • 106. Bao, C., Forte, D., Srivastava, A.: ‘On application of one-class SVM to reverse engineering-based hardware Trojan detection’. Int. Symp. on Quality Electronic Design, Santa Clara, USA, March 2014, pp. 4754.
    82. 82)
      • 7. Becker, G.T., Regazzoni, F., Paar, C., et al: ‘Stealthy dopant-level hardware Trojans’. Int. Workshop on Cryptographic Hardware and Embedded Systems, Santa Barbara, USA, August 2013, pp. 197214.
    83. 83)
      • 33. Lin, L., Burleson, W., Paar, C.: ‘MOLES: malicious off-chip leakage enabled by side-channels’. Proc. Int. Conf. on Computer-Aided Design, San Jose, USA, November 2009, pp. 117122.
    84. 84)
      • 66. Hicks, M., Finnicum, M., King, S.T., et al: ‘Overcoming an untrusted computing base: detecting and removing malicious hardware automatically’. Proc. IEEE Symp. on Security and Privacy, Oakland, USA, May 2010, pp. 159172.
    85. 85)
      • 13. Jacob, N., Merli, D., Heyszl, J., et al: ‘Hardware Trojans: current challenges and approaches’, IET Comput. Digit. Tech., 2014, 8, (6), pp. 264273.
    86. 86)
      • 52. Hu, K., Nowroz, A.N., Reda, S., et al: ‘High-sensitivity hardware Trojan detection using multimodal characterization’. Proc. Conf. on Design, Automation and Test in Europe, Grenoble, France, March 2013, pp. 12711276.
    87. 87)
      • 99. Jyothi, V., Thoonoli, M., Stern, R., et al: ‘FPGA trust zone: incorporating trust and reliability into FPGA designs’. Proc. IEEE Int. Conf. on Computer Design, Scottsdale, USA, October 2016, pp. 600605.
    88. 88)
      • 31. Sturton, C., Hicks, M., Wagner, D., et al: ‘Defeating UCI: building stealthy and malicious hardware’. Proc. IEEE Symp. on Security and Privacy, Berkeley, USA, May 2011, pp. 6477.
    89. 89)
      • 117. Li, W., Yu, J., Ning, X., et al: ‘Hu-Fu: hardware and software collaborative attack framework against neural networks’. IEEE Computer Society Annual Symp. on Very Large Scale Integration, Hong Kong, China, July 2018, pp. 482487.
    90. 90)
      • 73. Wang, X., Narasimhan, S., Krishna, A., et al: ‘Sequential hardware Trojan: side-channel aware design and placement’. Proc. IEEE Int. Conf. on Computer Design, Amherst, USA, October 2011, pp. 297300.
    91. 91)
      • 118. Hu, X., Zhao, Y., Deng, L., et al: ‘Practical attacks on deep neural networks by memory Trojaning’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., Early Access, 2020, pp. 114.
    92. 92)
      • 76. Jou, J.Y., Liu, C.N.J.: ‘Coverage analysis techniques for HDL design validation’. Proceedings of 6th Asia Pacific Chip Design Languages: APCHDL'99, Fukuoka, Japan, October 1999, pp. 4855.
    93. 93)
      • 110. Xue, M., Bian, R., Wang, J., et al: ‘A co-training based hardware Trojan detection technique by exploiting unlabeled ICs and inaccurate simulation models’. Proc. IEEE Int. Conf. on Trust, Security and Privacy in Computing and Communications, New York, USA, August 2018, pp. 14521457.
    94. 94)
      • 21. Jin, Y., Kupp, N., Makris, Y.: ‘Experiences in hardware Trojan design and implementation’. Proc. IEEE Int. Workshop on Hardware-Oriented Security and Trust, San Francisco, USA, July 2009, pp. 5057.
    95. 95)
      • 85. Pilato, C., Basu, K., Shayan, M., et al: ‘High-level synthesis of benevolent Trojans’. Proc. Conf. on Design, Automation and Test in Europe Conf. and Exhibition, Florence, Italy, March 2019, pp. 11241129.
    96. 96)
      • 105. Nasr, A.A., Abdulmageed, M.Z.: ‘Automatic feature selection of hardware layout: a step toward robust hardware Trojan detection’, J. Electron. Test., 2016, 32, (3), pp. 357367.
    97. 97)
      • 100. Swierczynski, P., Fyrbiak, M., Paar, C., et al: ‘Protecting against cryptographic Trojans in FPGAs’. IEEE Annual Int. Symp. on Field-Programmable Custom Computing Machines, Vancouver, Canada, May 2015, pp. 151154.
    98. 98)
      • 124. Rathor, V.S., Garg, B., Sharma, G.K.: ‘A novel low complexity logic encryption technique for design-for-trust’, IEEE Trans. Emerg. Top. Comput., 2018, Early Access, pp. 112.
    99. 99)
      • 41. Xue, M., Bian, R., Liu, W., et al: ‘Defeating untrustworthy testing parties: a novel hybrid clustering ensemble based golden models-free hardware Trojan detection method’, IEEE Access, 2019, 7, pp. 51245140.
    100. 100)
      • 44. Chakraborty, R.S., Saha, I., Palchaudhuri, A., et al: ‘Hardware Trojan insertion by direct modification of FPGA configuration bitstream’, IEEE Des. Test, 2013, 30, (2), pp. 4554.
    101. 101)
      • 119. Becker, G.T., Kasper, M., Moradi, A., et al: ‘Side-channel based watermarks for integrated circuits’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, Anaheim, USA, June 2010, pp. 3035.
    102. 102)
      • 50. Wang, X., Salmani, H., Tehranipoor, M., et al: ‘Hardware Trojan detection and isolation using current integration and localized current analysis’. Proc. IEEE Int. Symp. on Defect and Fault Tolerance of VLSI Systems, Boston, USA, October 2008, pp. 8795.
    103. 103)
      • 75. Zhang, X., Tehranipoor, M.: ‘Case study: detecting hardware Trojans in third-party digital IP cores’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, San Diego, USA, June 2011, pp. 6770.
    104. 104)
      • 108. Kulkarni, A., Pino, Y., Mohsenin, T.: ‘Adaptive real-time Trojan detection framework through machine learning’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, McLean, VA, USA, May 2016, pp. 120123.
    105. 105)
      • 49. ‘Trust-hub’. Available at http://www.trust-hub.org/, 2019.
    106. 106)
      • 93. Zhang, D., Wang, X., Rahman, M.T., et al: ‘An on-chip dynamically obfuscated wrapper for protecting supply chain against IP and IC piracies’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2018, 26, (11), pp. 24562469.
    107. 107)
      • 126. Yu, Q., Dofe, J., Zhang, Z.: ‘Exploiting hardware obfuscation methods to prevent and detect hardware Trojans’. Proc. IEEE 60th Int. Midwest Symp. on Circuits and Systems, Boston, USA, August 2017, pp. 819822.
    108. 108)
      • 104. Cruz, J., Huang, Y., Mishra, P., et al: ‘An automated configurable Trojan insertion framework for dynamic trust benchmarks’. Proc. Conf. on Design, Automation and Test in Europe Conf. and Exhibition, Dresden, Germany, March 2018, pp. 15981603.
    109. 109)
      • 88. Bao, C., Forte, D., Srivastava, A.: ‘On reverse engineering-based hardware Trojan detection’, IEEE Trans. Comput-Aided Des. Integr. Circuits Syst., 2016, 35, (1), pp. 4957.
    110. 110)
      • 3. Chakraborty, R.S., Narasimhan, S., Bhunia, S.: ‘Hardware Trojan: threats and emerging solutions’. Proc. IEEE Int. High Level Design Validation and Test Workshop, San Francisco, USA, November 2009, pp. 166171.
    111. 111)
      • 34. Fyrbiak, M., Wallat, S., Swierczynski, P., et al: ‘HAL-The missing piece of the puzzle for hardware reverse engineering, Trojan detection and insertion’, IEEE Trans. Dependable Secur. Comput., 2018, 16, (3), pp. 498510.
    112. 112)
      • 101. Bloom, G., Narahari, B., Simha, R., et al: ‘FPGA SoC architecture and runtime to prevent hardware Trojans from leaking secrets’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, Washington, USA, May 2015, pp. 4851.
    113. 113)
      • 129. Becker, G.T., Fyrbiak, M., Kison, C.: ‘Hardware obfuscation: techniques and open challenges’, in Bossuet, L., Torres, L. (Eds.): ‘Foundations of hardware IP protection’ (Springer, Cham, 2017), pp. 105123.
    114. 114)
      • 62. Banga, M., Hsiao, M.S.: ‘Trusted RTL: Trojan detection methodology in pre-silicon designs’. Proc. IEEE Int. Symp. on Hardware-Oriented Security and Trust, Anaheim, USA, June 2010, pp. 5659.
    115. 115)
      • 48. Zheng, J.X., Chen, E., Potkonjak, M.: ‘A benign hardware Trojan on FPGA-based embedded systems’. 22nd Int. Conf. on Field Programmable Logic and Applications, Oslo, Norway, August 2012, pp. 464470.
    116. 116)
      • 80. Jin, Y., Makris, Y.: ‘A proof-carrying based framework for trusted microprocessor IP’. Proc. Int. Conf. on Computer-Aided Design, San Jose, USA, November 2013, pp. 824829.
    117. 117)
      • 12. Rostami, M., Koushanfar, F., Karri, R.: ‘A primer on hardware security: models, methods, and metrics’, Proc. IEEE, 2014, 102, (8), pp. 12831295.
    118. 118)
      • 72. Zhao, H., Kwiat, L., Kwiat, K.A., et al: ‘Applying chaos theory for runtime hardware Trojan monitoring and detection’, IEEE Trans. Dependable Secur. Comput., 2020, 17, (4), pp. 716729.
    119. 119)
      • 55. Nowroz, A.N., Hu, K., Koushanfar, F., et al: ‘Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2014, 33, (12), pp. 17921805.
    120. 120)
      • 16. Baumgarten, A., Steffen, M., Clausman, M., et al: ‘A case study in hardware Trojan design and implementation’, Int. J. Inf. Secur., 2011, 10, (1), pp. 114.
    121. 121)
      • 122. Chakraborty, R.S., Bhunia, S.: ‘Security against hardware Trojan attacks using key-based design obfuscation’, J. Electron. Test., 2011, 27, (6), pp. 767785.
    122. 122)
      • 20. Tsoutsos, N.G., Konstantinou, C., Maniatakos, M.: ‘Advanced techniques for designing stealthy hardware Trojans’. Proc. ACM Annual Design Automation Conf., San Francisco, USA, June 2014, pp. 14.
    123. 123)
      • 78. Zhang, J., Yuan, F., Xu, Q.: ‘Detrust: defeating hardware trust verification with stealthy implicitly-triggered hardware Trojans’. Proc. ACM SIGSAC Conf. on Computer and Communications Security, Scottsdale, USA, November 2014, pp. 153166.
    124. 124)
      • 51. Li, J., Lach, J.: ‘At-speed delay characterization for IC authentication and Trojan horse detection’. Proc. IEEE Int. Workshop on Hardware-Oriented Security and Trust, Anaheim, USA, June 2008, pp. 814.
    125. 125)
      • 54. Narasimhan, S., Du, D., Chakraborty, R.S., et al: ‘Hardware Trojan detection by multiple-parameter side-channel analysis’, IEEE Trans. Comput., 2013, 62, (11), pp. 21832195.
    126. 126)
      • 114. Clements, J., Lao, Y.: ‘Hardware Trojan design on neural networks’. IEEE Int. Symp. Circuits Syst., Sapporo, Japan, May 2019, pp. 15.
    127. 127)
      • 63. Waksman, A., Suozzo, M., Sethumadhavan, S.: ‘FANCI: identification of stealthy malicious logic using Boolean functional analysis’. Proc. ACM SIGSAC Conf. on Computer and Communications Security, Berlin, Germany, November 2013, pp. 697708.
    128. 128)
      • 25. Santos, J.C.M., Fei, Y.: ‘Designing and implementing a malicious 8051 processor’. Proc. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Austin, USA, October 2012, pp. 6366.
    129. 129)
      • 82. Rajendran, J., Dhandayuthapany, A.M., Vedula, V., et al: ‘Formal security verification of third party intellectual property cores for information leakage’. Proc. Int. Conf. on VLSI Design, Kolkata, India, January 2016, pp. 547552.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2020.0041
Loading

Related content

content/journals/10.1049/iet-cdt.2020.0041
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading