access icon free Rectilinear routing algorithm for crosstalk minimisation in 2D and 3D IC

The coupling capacitance and inductance of 2D and 3D integrated circuit (IC) interconnects in deep sub-micron technology has been increased due to reduced coupling distance in such a way that their magnitudes become comparable to the area and fringing capacitance of an interconnect. This leads to an increasing risk of failure due to unintentional noise and a need for accurate noise assessment. Incorrect noise estimation could either result in defects in circuit design if the design resources are understated or it will end up with a waste of overestimation resources. In this study, a crosstalk noise model for coupled RLC on-chip interconnects has been demonstrated. Subsequently, a novel time-efficient method is proposed to estimate and optimise the crosstalk noise precisely. The proposed method calculates coupling noise as well as optimises crosstalk noise, which has been validated using SPICE. Besides the estimation of crosstalk noise for 2D interconnect, this study also estimates the crosstalk noise for through-silicon-via (TSV), which is used to connect different dies vertically in a 3D IC. Under high-frequency operation, effects of signal rise time, TSV structure (height of the TSV), substrate resistivity and the guarding TSV termination on crosstalk noise have also been studied in this work.

Inspec keywords: integrated circuit interconnections; three-dimensional integrated circuits; network routing; integrated circuit modelling; crosstalk; integrated circuit noise; SPICE; RLC circuits; integrated circuit design

Other keywords: 2D IC; guarding TSV termination; overestimation resources; deep sub-micron technology; circuit design; through-silicon-via; 3D integrated circuit interconnects; 2D integrated circuit interconnects; SPICE; time-efficient method; rectilinear routing algorithm; substrate resistivity; coupling capacitance; fringing capacitance; TSV structure; crosstalk minimisation; 3D IC; coupling inductance; crosstalk noise model; high-frequency operation; reduced coupling distance; coupled RLC on-chip interconnects; unintentional noise; incorrect noise estimation; accurate noise assessment

Subjects: Semiconductor integrated circuit design, layout, modelling and testing; Metallisation and interconnection technology

References

    1. 1)
      • 8. Tseng, H.-P., Scheffer, L., Sechen, C.: ‘Timing- and crosstalk-driven area routing’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2001, 20, (4), pp. 528544.
    2. 2)
      • 2. Ismail, Y.I., Friedman, E.G.: ‘On-chip inductance in high speed integrated circuits’ (Springer Science & Business Media, USA, 2012).
    3. 3)
      • 3. Achar, R., Nakhla, M.S.: ‘Simulation of high-speed interconnects’, Proc. IEEE, 2001, 89, (5), pp. 693728.
    4. 4)
      • 14. Mandal, T.N., Banik, A.D., Dey, K., et al: ‘Algorithms for minimizing bottleneck crosstalk in two-layer channel routing’. Computational Advancement in Communication Circuits and Systems, Agarpara, Kolkata, India, 2020, pp. 313330.
    5. 5)
      • 15. Heydari, P., Pedram, M.: ‘Capacitive coupling noise in high-speed VLSI circuits’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2005, 24, (3), pp. 478488.
    6. 6)
      • 19. Lengauer, T.: ‘Combinatorial algorithms for integrated circuit layout’ (Springer Science & Business Media, Germany, 2012).
    7. 7)
      • 23. Maheshwari, V., Khare, K., Mukherjee, S., et al: ‘Peak noise and noise width modelling for rlc global interconnects in deep submicron vlsi circuits’. 2013 IEEE Conf. on Information & Communication Technologies, Thuckalay, Tamil Nadu, India, 2013, pp. 321326.
    8. 8)
      • 18. Dolev, D., Karplus, K., Siegel, A., et al: ‘Optimal wiring between rectangles’. Proc. of the Thirteenth Annual ACM Symp. on Theory of Computing, New York, NY, USA, 1981, pp. 312317.
    9. 9)
      • 13. Cui, X., Cui, X., Ni, Y., et al: ‘An enhancement of crosstalk avoidance code based on fibonacci numeral system for through silicon vias’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2017, 25, (5), pp. 16011610.
    10. 10)
      • 4. Sun, J., Zheng, Y., Ye, Q., et al: ‘Worst-case crosstalk noise analysis based on dual-exponential noise metrics’. 18th Int. Conf. on VLSI Design held jointly with 4th Int. Conf. on Embedded Systems Design, Kolkata, India, 2005, pp. 348353.
    11. 11)
      • 7. Gao, T., Liu, C.L.: ‘Minimum crosstalk channel routing’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 1996, 15, (5), pp. 465474.
    12. 12)
      • 20. Lloyd, E.L., Ravi, S.S.: ‘One-layer routing without component constraints’, J. Comput. Syst. Sci., 1984, 28, (3), pp. 420438.
    13. 13)
      • 16. Sinha, D., Zhou, H.: ‘Statistical timing analysis with coupling’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2006, 25, (12), pp. 29652975.
    14. 14)
      • 5. Chaudhary, K., Onozawa, A., Kuh, E.S.: ‘A spacing algorithm for performance enhancement and cross-talk reduction’. Proc. of 1993 Int. Conf. on Computer Aided Design (ICCAD), Santa Clara, CA, USA, 1993, pp. 697702.
    15. 15)
      • 10. Kay, R., Rutenbar, R.A.: ‘Wire packing – a strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2001, 20, (5), pp. 672679.
    16. 16)
      • 12. Bang, S., Han, K., Kahng, A.B., et al: ‘Delay uncertainty and signal criticality driven routing channel optimization for advanced dram products’. 2016 21st Asia and South Pacific Design Automation Conf. (ASP-DAC), Macau, China, 2016, pp. 697704.
    17. 17)
      • 6. Chen, H.H., Wong, C.K.: ‘Wiring and crosstalk avoidance in multi-chip module design’. 1992 Proc. of the IEEE Custom Integrated Circuits Conf., Boston, MA, USA, 1992, pp. 28.6.128.6.4, doi: 10.1109/CICC.1992.591865.
    18. 18)
      • 1. Rosenfeld, J., Friedman, E.G.: ‘Design methodology for global resonant h-tree clock distribution networks’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2007, 15, (2), pp. 135148.
    19. 19)
      • 11. Batterywala, S., Shenoy, N., Nicholls, W., et al: ‘Track assignment: a desirable intermediate step between global routing and detailed routing’. IEEE/ACM Int. Conf. on Computer Aided Design, 2002 – ICCAD 2002, San Jose, CA, USA, November 2002, pp. 5966.
    20. 20)
      • 21. Predictive technology model. Available at: http://ptm.asu.edu/.
    21. 21)
      • 22. ISPD. Available at: http://www.ispd.cc/contests/.
    22. 22)
      • 9. Chang, C.-C., Cong, J.: ‘Pseudopin assignment with crosstalk noise control’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2001, 20, (5), pp. 598611.
    23. 23)
      • 17. Lee, M., Pak, J.S., Kim, J.: ‘Electrical design of through silicon via’ (Springer, Netherlands, 2014).
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2020.0010
Loading

Related content

content/journals/10.1049/iet-cdt.2020.0010
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading