access icon free P-EdgeCoolingMode: an agent-based performance aware thermal management unit for DVFS enabled heterogeneous MPSoCs

Thermal cycling, as well as spatial and thermal gradient, affects the lifetime reliability and performance of heterogeneous Multi-Processor Systems-on-Chips (MPSoCs). Conventional temperature management techniques are not intelligent enough to cater for performance, energy efficiency as well as the operating temperature of the system. In this study, the authors propose a light-weight novel thermal management mechanism (P-EdgeCoolingMode) in the form of intelligent software agent, which monitors and regulates the operating temperature of the CPU cores to improve the reliability of the system while catering for performance requirements. P-EdgeCoolingMode is capable of pro-actively monitoring performance and based on the user's demand the agent takes necessary action, making the proposed methodology highly suitable for implementation on existing as well as conceptual Edge devices utilising heterogeneous MPSoCs with dynamic voltage and frequency scaling (DVFS) capabilities. They validated the authors’ methodology on the Odroid-XU4 MPSoC and Huawei P20 Lite (HiSilicon Kirin 659 MPSoC). P-EdgeCoolingMode has been successful in reducing the operating temperature while improving performance and reducing power consumption for chosen test cases than the state-of-the-art. For applications with demanding performance requirement P-EdgeCoolingMode has been found to improve the power consumption by 30.62% at the most in comparison to existing state-of-the-art power management methodologies.

Inspec keywords: cooling; microprocessor chips; power consumption; power aware computing; software agents; system-on-chip; multiprocessing systems

Other keywords: power consumption; DVFS; HiSilicon Kirin 659 MPSoC; heterogeneous MPSoCs; P-EdgeCoolingMode; lifetime reliability; dynamic voltage and frequency scaling capabilities; operating temperature; intelligent software agent; spatial gradient; heterogeneous multiprocessor systems-on-chips; light-weight thermal management mechanism; performance requirements; thermal gradient; agent-based performance aware thermal management unit; performance requirement; thermal cycling

Subjects: Performance evaluation and testing; Microprocessors and microcomputers; Microprocessor chips; Expert systems and other AI software and techniques; System-on-chip; System-on-chip; Other aspects of analogue and digital computers; Multiprocessing systems

References

    1. 1)
      • 21. Carlson, T.E., Heirman, W., Eeckhout, L.: ‘Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulations’. Int. Conf. for High Performance Computing, Networking, Storage and Analysis (SC), Seatle, WA, USA, 2011, pp. 52:152:12.
    2. 2)
      • 35. Dey, S., Kalliatakis, G., Saha, S., et al: ‘Mat-cnn-sopc: motionless analysis of traffic using convolutional neural networks on system-on-a-programmable-chip’. 2018 NASA/ESA Conf. on Adaptive Hardware and Systems (AHS 2018), Edinburgh, UK, 2018.
    3. 3)
      • 36. Thrun, S., Mitchell, T.M.: ‘Lifelong robot learning’, in ‘the biology and technology of intelligent autonomous agents’ (Springer, New York, NY, USA, 1995), pp. 165196.
    4. 4)
      • 18. Huawei p20 lite’. Huawei, https://consumer.huawei.com/uk/phones/m/p20-lite/, accessed 23 July 2018.
    5. 5)
      • 12. Barik, R., Farooqui, N., Lewis, B.T., et al: ‘A black-box approach to energy-aware scheduling on integrated cpu-gpu systems’. Proc. of the 2016 Int. Symp. on Code Generation and Optimization, ACM, Barcelona, Spain, 2016, pp. 7081.
    6. 6)
      • 34. Rich, E., Knight, K.: ‘Artificial intelligence’ (McGraw-Hill, New York, NY, USA, 1991).
    7. 7)
      • 2. Hisilicon kirin 650 (659)’. Hisilicon, http://www.hisilicon.com/en/Solutions/Kirin, accessed 23 July 2018.
    8. 8)
      • 13. Singh, A.K., Prakash, A., Basireddy, K.R., et al: ‘Energy-efficient run-time mapping and thread partitioning of concurrent opencl applications on cpu-gpu mpsocs’, ACM Trans. Embedded Comput. Syst. (TECS), 2017, 16, (5s), p. 147.
    9. 9)
      • 28. ul Islam, F.M.M., Lin, M.: ‘Hybrid dvfs scheduling for real-time systems based on reinforcement learning’, IEEE Syst. J., 2017, 12, (4), pp. 931940.
    10. 10)
      • 24. Bhat, G., Singla, G., Unver, A.K., et al: ‘Algorithmic optimization of thermal and power management for heterogeneous mobile platforms’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2018, 26, (3), pp. 544557.
    11. 11)
      • 9. Reddy, B.K., Singh, A., Biswas, D., et al: ‘Inter-cluster thread-to-core mapping and dvfs on heterogeneous multi-cores’, IEEE Trans. Multiscale Comput. Syst., 2017, 4, (3), pp. 369382.
    12. 12)
      • 30. Negnevitsky, M.: ‘Artificial intelligence: a guide to intelligent systems’ (Pearson Education, Harlow, UK, 2005).
    13. 13)
      • 8. Aalsaud, A., Shafik, R., Rafiev, A., et al: ‘Power–aware performance adaptation of concurrent applications in heterogeneous many-core systems’. Proc. of the 2016 Int. Symp. on Low Power Electronics and Design. ACM, San Francisco, CA, USA, 2016, pp. 368373.
    14. 14)
      • 27. Zhang, Q., Lin, M., Yang, L.T., et al: ‘A double deep q-learning model for energy-efficient edge scheduling’, IEEE Trans. Serv. Comput., 2018, 18, (1), pp. 11.
    15. 15)
      • 16. Coskun, A.K., Rosing, T.S., Whisnant, K.: ‘Temperature aware task scheduling in mpsocs’. Design, Automation & Test in Europe Conf. & Exhibition, 2007, Nice, France, 2007, pp. 16.
    16. 16)
      • 10. Dey, S., Singh, A.K., McDonald-Maier, K.: ‘Energy efficiency and reliability of computer vision applications on heterogeneous multi-processor systems-on-chips (mpsocs)’.
    17. 17)
      • 22. Iranfar, A., Kamal, M., Afzali.Kusha, A.: ‘Thespot: thermal stress-aware power and temperature management for multiprocessor systems-on-chip’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2018, 37, (8), pp. 15321545.
    18. 18)
      • 4. Singh, A.K., Leech, C., Reddy, B.K., et al: ‘Learning-based run-time power and energy management of multi/many-core systems: current and future trends’, J. Low Power Electron., 2017, 13, (3), pp. 310325.
    19. 19)
      • 20. Kamal, M., Iranfar, A., Afzali.Kusha, A., et al: ‘A thermal stress-aware algorithm for power and temperature management of mpsocs’. Proc. of the 2015 Design, Automation & Test in Europe Conf. & Exhibition, EDA Consortium, Grenoble, France, 2015, pp. 954959.
    20. 20)
      • 15. Chantem, T., Dick, R.P., Hu, X.S.: ‘Temperature-aware scheduling and assignment for hard real-time applications on mpsocs’. Proc. of the Conf. on Design, Automation and Test in Europe, ACM, Munich, Germany, 2008, pp. 288293.
    21. 21)
      • 31. McDermott, D., Charniak, E.: ‘Introduction to artificial intelligence’ (Addison-Wesley, Reading, 1985).
    22. 22)
      • 26. Sprunt, B., Sha, L., Lehoczky, J.: ‘Scheduling sporadic and aperiodic events in a hard real-time system’ (Carnegie-Mellon University, Pittsburgh, Pennsylvania, USA, 1989).
    23. 23)
      • 25. Chetto, H., Silly, M., Bouchentouf, T.: ‘Dynamic scheduling of real-time tasks under precedence constraints’, Real-Time Syst., 1990, 2, (3), pp. 181194.
    24. 24)
      • 3. Singh, A.K., Dziurzanski, P., Mendis, H.R., et al: ‘A survey and comparative study of hard and soft real-time dynamic resource allocation strategies for multi-/many-core systems’, ACM Comput. Surv. (CSUR), 2017, 50, (2), p. 24.
    25. 25)
      • 38. Arm big.little technology’. arm, http://www.arm.com/, accessed: 23 July 2018.
    26. 26)
      • 29. Gupta, U., Mandal, S.K., Mao, M., et al: ‘A deep qlearning approach for dynamic management of heterogeneous processors’, IEEE Comput. Archit. Lett., 2019, 18, (1), pp. 1417.
    27. 27)
      • 23. Singla, G., Kaur, G., Unver, A.K., et al: ‘Predictive dynamic thermal and power management for heterogeneous mobile platforms’. Proc. of the 2015 Design, Automation & Test in Europe Conf. & Exhibition. (EDA Consortium, Grenoble, France, 2015, pp. 960965.
    28. 28)
      • 19. Ghasemazar, M., Goudarzi, H., Pedram, M.: ‘Robust optimization of a chip multiprocessor's performance under power and thermal constraints’. 2012 IEEE 30th Int. Conf. on Computer Design (ICCD), Montreal, QC, Canada, 2012, pp. 108114.
    29. 29)
      • 14. Bienia, C.: ‘Benchmarking modern multiprocessors’ (Princeton University, Princeton, NJ, USA, 2011).
    30. 30)
      • 7. DeVogeleer, K., Memmi, G., Jouvelot, P., et al: ‘Modeling the temperature bias of power consumption for nanometer-scale cpus in application processors’. 2014 Int. Conf. on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), Agios Konstantinos, Greece, 2014, pp. 172180.
    31. 31)
      • 40. Curnow, H.J., Wichmann, B.A.: ‘A synthetic benchmark’, Comput. J., 1976, 19, (1), pp. 4349.
    32. 32)
      • 11. Chandramohan, K., O'Boyle, M.F.: ‘Partitioning data-parallel programs for heterogeneous mpsocs: time and energy design space exploration’. ACM SIGPLAN Notices. ACM, Edinburgh, UK, 2014, vol. 49, pp. 7382.
    33. 33)
      • 33. Haugeland, J.: ‘Artificial intelligence: the very idea. 1985’. Cited on, 1985, p. 26.
    34. 34)
      • 1. Exynos 5 octa (5422)’. Samsung, https://www.samsung.com/exynos, accessed 23 July 2018.
    35. 35)
      • 37. Carlson, A., Betteridge, J., Kisiel, B., et al: ‘Toward an architecture for never-ending language learning’, in ‘AAAI’, vol. 5. (Association for the Advancement of Artificial Intelligence, USA, Atlanta, 2010), p. 3.
    36. 36)
      • 41. Implementing vsync’. Android, https://source.android.com/devices/graphics/implement-vsync, accessed 23 December 2018.
    37. 37)
      • 17. Odroid-xu4’. Hardkernel, https://goo.gl/KmHZRG, accessed 23 July 2018.
    38. 38)
      • 5. Reddy, B.K., Merrett, G.V., Al.Hashimi, B.M., et al: ‘Online concurrent workload classification for multi-core energy management’. Design, Automation Test in Europe Conf. Exhibition (DATE), Dresden, Germany, 2018, pp. 621624.
    39. 39)
      • 32. Russell, S.J., Norvig, P.: ‘Artificial intelligence: a modern approach’ (Pearson Education Limited, Malaysia, 2016).
    40. 40)
      • 39. Odroid smartpower2’. Hardkernel, https://www.hardkernel.com/main/products/prdt_info.php\?g_code=G148048570542, accessed 23 July 2018.
    41. 41)
      • 6. Dey, S., Guajardo, E.Z., Basireddy, K.R., et al: ‘Edgecoolingmode: an agent based thermal management mechanism for dvfs enabled heterogeneous mpsocs’. The 2019 32nd Int. Conf. on VLSI Design (VLSID 2019) and 2019 18th Int. Conf. on Embedded Systems, Delhi, NCR, India, 2018.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2019.0037
Loading

Related content

content/journals/10.1049/iet-cdt.2019.0037
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading