Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Mapping application-specific topology to mesh topology with reconfigurable switches

When designing a Network-on-Chip (NoC) architecture, designers must consider various criteria such as bandwidth, performance, energy consumption, cost, re-usability, and fault tolerance. In most of the design efforts, it is very difficult to meet all these interacting constraints and objectives at the same time. Some of these parameters can be optimised and met easily by regular NoC topologies due to their re-usability and fault-tolerance capabilities. On the other hand, other parameters such as energy consumption, performance, and chip area can be better optimised in irregular NoC topologies. In this work, the authors present a novel two-step method that combines the advantages of regular and irregular NoC topologies. In the first step, the authors’ method generates an energy and area optimised irregular topology for the given application by using a genetic algorithm. The generated topology uses the least amount of routers and links to minimise the area and energy; thus, it offers only one routing path between communicating nodes. Therefore, it does not fault tolerant. In the second step, their method maps the generated irregular topology to a reconfigurable mesh topology to make it fault tolerant. The detailed simulation results show the superiority of the proposed method over the existing work on several multimedia benchmarks.

References

    1. 1)
      • 21. Kullu, P., Tosun, S.: ‘Energy-aware and fault-tolerant custom topology design method for network-on-chips’, Nano. Commun. Netw., 2019, 19, pp. 5466.
    2. 2)
      • 22. Li, Z., Huang, J., Xu, Q., et al: ‘Integer linear programming based fault-tolerant topology synthesis for application-specific NoC’. 2017 IEEE 12th Int. Conf. on ASIC (ASICON), Guiyang, China, 2017, pp. 9699.
    3. 3)
      • 27. Becker, M., Krömker, M., Szczerbicka, H.: ‘Evaluating heuristic optimization, bio-inspired and graph-theoretic algorithms for the generation of fault-tolerant graphs with minimal costs’, in Kim, Kuinam J. (Ed.): ‘Information science and applications’ (Springer, Berlin, Germany, 2015), pp. 10331041.
    4. 4)
      • 9. Tosun, S.: ‘New heuristic algorithms for energy aware application mapping and routing on mesh-based NoCs’, J. Syst. Archit., 2011, 57, (1), pp. 6978.
    5. 5)
      • 17. Soumya, J., Babu, K.N., Chattopadhyay, S.: ‘Multi-application mapping onto a switch-based reconfigurable network-on-chip architecture’, J. Circuits Syst. Comput., 2017, 26, (11), p. 1750174.
    6. 6)
      • 23. Shah, P., Kanniganti, A., Soumya, J.: ‘Fault-tolerant application specific network on-chip design’. 2017 7th Int. Symp. on Embedded Computing and System Design (ISED), Durgapur, India, 2017, pp. 15.
    7. 7)
      • 20. Marcon, C., Borin, A., Susin, A., et al: ‘Time and energy efficient mapping of embedded applications onto NoCs’. Proc. of the ASP-DAC 2005, Asia and South Pacific Design Automation Conf., 2005, Shanghai, China, 2005, vol. 1, pp. 3338.
    8. 8)
      • 29. Kohler, A., Schley, G., Radetzki, M.: ‘Fault tolerant network on chip switching with graceful performance degradation’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2010, 29, (6), pp. 883896.
    9. 9)
      • 32. Hu, J., Marculescu, R.: ‘Energy-and performance-aware mapping for regular NoC architectures’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2005, 24, (4), pp. 551562.
    10. 10)
      • 31. Schönwald, T., Viehl, A., Bringmann, O., et al: ‘Distance-constrained force-directed process mapping for MPSoC architectures’. 2012 15th Euromicro Conf. on Digital System Design (DSD), Izmir, Turkey, 2012, pp. 592599.
    11. 11)
      • 14. Leary, G., Srinivasan, K., Mehta, K., et al: ‘Design of network-on-chip architectures with a genetic algorithm-based technique’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2009, 17, (5), pp. 674687.
    12. 12)
      • 7. Srinivasan, K., Chatha, K.S.: ‘A technique for low energy mapping and routing in network-on-chip architectures’. Proc. of the 2005 Int. Symp. on Low Power Electronics and Design, California, USA, 2005, pp. 387392.
    13. 13)
      • 11. Tosun, S., Ar, Y., Ozdemir, S.: ‘Application-specific topology generation algorithms for network-on-chip design’, IET Comput. Digital Tech., 2012, 6, (5), pp. 318333.
    14. 14)
      • 30. Radetzki, M., Feng, C., Zhao, X., et al: ‘Methods for fault tolerance in networks-on-chip’, ACM Comput. Surv., 2013, 46, (1), p. 8.
    15. 15)
      • 13. Srinivasan, K., Chatha, K.S., Konjevod, G.: ‘Linear-programming-based techniques for synthesis of network-on-chip architectures’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2006, 14, (4), pp. 407420.
    16. 16)
      • 4. Constantinides, K., Plaza, S., Blome, J., et al: ‘Bulletproof: a defect-tolerant CMP switch architecture’. The Twelfth Int. Symp. on High-Performance Computer Architecture, 2006, Austin, TX, USA, 2006, pp. 516.
    17. 17)
      • 24. Huang, J., Chen, S., Zhong, W., et al: ‘Floorplanning and topology synthesis for application-specific network-on-chips with RF-interconnect’, ACM Trans. Des. Autom. Electron. Syst., 2016, 21, (3), p. 40.
    18. 18)
      • 8. Janidarmian, M., Khademzadeh, A., Tavanpour, M.: ‘Onyx: a new heuristic bandwidth-constrained mapping of cores onto tile-based network on chip’, IEICE Electron. Express, 2009, 6, (1), pp. 17.
    19. 19)
      • 12. Chang, K.C., Chen, T.F.: ‘Low-power algorithm for automatic topology generation for application-specific networks on chips’, IET Comput. Digit. Tech., 2008, 2, (3), pp. 239249.
    20. 20)
      • 10. Sahu, P.K., Chattopadhyay, S.: ‘A survey on application mapping strategies for network-on-chip design’, J. Syst. Archit., 2013, 59, (1), pp. 6076.
    21. 21)
      • 25. Huang, J., Li, Z., Zhong, W., et al: ‘Lagrangian relaxation based topology synthesis for application-specific network-on-chips’. 2015 IEEE 11th Int. Conf. on ASIC (ASICON), Chengdu, China, 2015, pp. 14.
    22. 22)
      • 16. Bayar, S., Yurdakul, A.: ‘An efficient mapping algorithm on 2-D mesh network on-chip with reconfigurable switches’. 2016 Int. Conf. on Design and Technology of Integrated Systems in Nanoscale Era (DTIS), Istanbul, Turkey, 2016, pp. 14.
    23. 23)
      • 28. Zhang, Z., Greiner, A., Taktak, S.: ‘A reconfigurable routing algorithm for a fault tolerant 2D-mesh network-on-chip’. 45th ACM/IEEE Design Automation Conf., 2008, DAC 2008, California, USA, 2008, pp. 441446.
    24. 24)
      • 18. Tosun, S., Ozturk, O., Ozen, M.: ‘An ILP formulation for application mapping onto network-on-chips’. 2009 Int. Conf. on Application of Information and Communication Technologies, AICT 2009, Baku, Azerbaijan, 2009, pp. 15.
    25. 25)
      • 15. Modarressi, M., Tavakkol, A., Sarbazi-Azad, H.: ‘Application-aware topology reconfiguration for on-chip networks’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2011, 19, (11), pp. 20102022.
    26. 26)
      • 6. Murali, S., De Micheli, G.: ‘Bandwidth-constrained mapping of cores onto NoC architectures’. Proc. of the Conf. on Design, Automation and Test in Europe, Paris, France, 2004, vol. 2, p. 20896.
    27. 27)
      • 1. Suboh, S., Bakhouya, M., Gaber, J., et al: ‘An interconnection architecture for network-on-chip systems’, Telecommun. Syst., 2008, 37, (1-3), pp. 137144.
    28. 28)
      • 5. Hu, J., Marculescu, R.: ‘Exploiting the routing flexibility for energy/performance aware mapping of regular NoC architectures’. 2003 Design, Automation and Test in Europe Conf. and Exhibition, Munich, Germany, 2003, pp. 688693.
    29. 29)
      • 3. Pan, S.J., Cheng, K.T.: ‘A framework for system reliability analysis considering both system error tolerance and component test quality’. Proc. of the Conf. on Design, Automation and Test in Europe., Nice, France(EDA Consortium), 2007, pp. 15811586.
    30. 30)
      • 19. Ascia, G., Catania, V., Palesi, M.: ‘Multi-objective mapping for mesh-based NoC architectures’. Proc. of the 2nd IEEE/ACM/IFIP Int. Conf. on Hardware/Software Codesign and System Synthesis, Stockholm, Sweden, 2004, pp. 182187.
    31. 31)
      • 26. Becker, M., Sarasureeporn, W., Szczerbicka, H.: ‘Comparison of bio-inspired and graph-theoretic algorithms for design of fault-tolerant networks’. ICAS 2012, The Eighth Int. Conf. on Autonomic and Autonomous Systems, St. Maarten, Netherlands Antilles, 2012, pp. 17.
    32. 32)
      • 2. Tosun, S., Ajabshir, V.B., Mercanoglu, O., et al: ‘Fault-tolerant topology generation method for application-specific network-on-chips’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2015, 34, (9), pp. 14951508.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2018.5202
Loading

Related content

content/journals/10.1049/iet-cdt.2018.5202
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address