access icon free High performance and predictable memory controller for multicore mixed-criticality real-time systems

Multicore processors are widely used in today's real-time embedded systems to satisfy the performance and predictability requirements as well as reduce cost. A vast majority of multicore embedded systems are running several tasks with mixed-criticality, in which the non-functional requirements of the tasks are different or even conflicting. A major challenge in mixed-criticality systems is to maximise the efficiency of shared resources while satisfying the criticality requirements. Shared memory is a key component that should be well managed and memory controller plays the main role in this case. Several memory controllers have been introduced in the literature for multicore processors. In this article, the authors performed a deep investigation on three state-of-the-art memory controllers using gem5 full-system simulator and Xilinx ISE Design Suite, and compared them in terms of predictability and performance. Then, the authors proposed a memory controller that provides the same predictability as the most predictable existing controller while improving the performance by 12.3%.

Inspec keywords: embedded systems; multiprocessing systems; real-time systems; power aware computing; system-on-chip

Other keywords: multicore embedded systems; gem5 full-system simulator; state-of-the-art memory controllers; vast majority; high performance; predictability requirements as well as reduce cost; predictable memory controller; real-time systems; criticality requirements; real-time embedded systems; predictable existing controller; mixed-criticality systems; shared resources; multicore mixed-criticality; multicore processors; nonfunctional requirements; shared memory

Subjects: Multiprocessing systems; System-on-chip; Memory circuits; Semiconductor storage

References

    1. 1)
      • 14. Paolieri, M., Quinones, E., Cazorla, F.J.: ‘Timing effects of DDR memory systems in hard real-time multicore architecture: issues and solutions’, ACM Trans. Embedded Comput. Syst., 2013, 12, (1), pp. 164.
    2. 2)
      • 3. Sayadi, H., Farbeh, H., Monazzah, A.M.H., et al: ‘A data recomputation approach for reliability improvement of scratchpad memory in embedded systems’. Proc. Int. Symp. Defect and Fault Tolerance VLSI and Nanotechnology System, Amsterdam, Netherlands, October 2014, pp. 228233.
    3. 3)
      • 8. Paolieri, M., Quiñones, E., Cazorla, J., et al: ‘An analyzable memory controller for hard real-time CMPs’, IEEE Embedded Sys. Lett., 2009, 1, (4), pp. 8690.
    4. 4)
      • 25. Jantz, M., Strickland, C., Kumar, K., et al: ‘A framework for application guidance in virtual memory systems’. Proc. Int. Conf. ACM SIGPLAN/SIGOPS Virtual Execution Environments, New York, USA, October 2013, pp. 155166.
    5. 5)
      • 16. Jalle, J., Quinones, E., Abella, J., et al: ‘A dual-criticality memory controller (DCmc): proposal and evaluation of a space case study’. Proc. Int. Conf. Real-Time Systems Symp. (RTSS'14), Rome, Italy, December 2014, pp. 207217.
    6. 6)
      • 27. Sha, L.: ‘Real-time virtual machines for avionics software porting and development’. Proc. Int. Conf. Real-Time and Embedded Computing Systems and Applications, Tainan, Taiwan, February 2003, pp. 123135.
    7. 7)
      • 10. Ecco, L., Tobuschat, S., Saidi, S., et al: ‘A mixed critical memory controller using bank privatization and fixed priority scheduling’. Proc. Int. Conf. Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 2014, pp. 110.
    8. 8)
      • 28. Guthaus, M.R., Ringenberg, J.S., Ernst, D., et al: ‘Mibench: a free, commercially representative embedded benchmark suite’. IEEE Annual Workshop on Workload Characterization, Austin, TX, USA, December 2001, pp. 314.
    9. 9)
      • 7. Paolieri, M., Quiñones, E., Cazorla, F.J., et al: ‘Hardware support for WCET analysis of hard real-time multicore systems’, ACM SIGARCH Comput. Archit. News, 2009, 37, (3), pp. 5768.
    10. 10)
      • 20. Schoeberl, M., Pezzarossa, L., Sparsø, J.: ‘A multicore processor for time-critical applications’, IEEE Des. Test, 2018, 35, (2), pp. 3847.
    11. 11)
      • 6. Macariu, G., Vladimir, C.: ‘Enabling parallelism and resource sharing in multi-core component-based systems’. Proc. Int. Symp. IEEE Object/Component/Service/-Oriented Real-Time Distributed Computing, Newport Beach, Canada, March 2011, pp. 269277.
    12. 12)
      • 11. Goossens, S., Kuijsten, J., Akesson, B., et al: ‘A reconfigurable real-time sdram controller for mixed time-criticality systems’. Proc. Int. Conf. IEEE/ACM/IFIP Hardware/Software Codesign and System Synthesis, Montreal, Canada, October 2013, pp. 110.
    13. 13)
      • 1. Makrani, H.M., Monazzah, A.M.H., Farbeh, H., et al: ‘Evaluation of software-based fault-tolerant techniques on embedded OS's components’. Proc Int. Conf. Depend., Lisbon, Portugal, November 2014, pp. 5157.
    14. 14)
      • 26. Yun, H., Mancuso, R., Wu, Z.P., et al: ‘PALLOC: DRAM bank-aware memory allocator for performance isolation on multicore platforms’. Proc. Int. Conf. IEEE Real-Time and Embedded Technology and Applications Symp., Berlin, Germany, April 2014, pp. 155166.
    15. 15)
      • 2. Farbeh, H., Fazeli, M., Khosravi, F., et al: ‘Memory mapped spm: protecting instruction scratchpad memory in embedded systems against soft errors’. Proc. Euro. Depend. Comput. Conf., Sibiu, Romania, May 2012, pp. 218226.
    16. 16)
      • 17. Giannopoulou, G., Stoimenov, N., Huang, P., et al: ‘Scheduling of mixed-criticality applications on resource-sharing multicore systems’. Proc. Int. Conf. IEEE Embedded Software, Montreal, Canada, September 2013, pp. 115.
    17. 17)
      • 4. Biondi, A., Marinoni, M., Buttazzo, G., et al: ‘Challenges in virtualizing safety-critical cyber physical systems’. Proc. Int. Conf. Embedded World, Nurnberg, Germany, February 2018, pp. 15.
    18. 18)
      • 19. Cilku, B., Crespo, A., Puschner, P., et al: ‘A TDMA-based arbitration scheme for mixed-criticality multicore platforms’. Proc. Int. Conf. 3rd Int. Conf. on Event-Based Control, Communication and Signal Processing (EBCCSP), Krakow, Poland, June 2015, pp. 16.
    19. 19)
      • 5. Leupers, R., Aguilar, M.A., Castrillon, J.: ‘Software compilation techniques for embedded heterogeneous multi-core systems’, in Bhattacharyya, S.S., Deprettere, E.F., Leupers, R., et al (Eds.): ‘Handbook of signal processing systems’ (Springer, Basel, Switzerland, 2018, 3rd edn.), pp. 10211062.
    20. 20)
      • 15. Hassan, M., Patel, H.: ‘Criticality- and requirement-aware bus arbitration for multi-core mixed criticality systems’. Proc. Int. Conf. IEEE Real-Time and Embedded Technology and Applications Symp., Vienna, Austria, April 2016, pp. 111.
    21. 21)
      • 13. Wu, Z., Krish, Y., Pellizzoni, R.: ‘Worst case analysis of dram latency in multi-requestor systems’. Proc. Int. Conf. 34th IEEE Conf. on Real-Time Systems Symp., Vancouver, Canada, December 2013, pp. 372383.
    22. 22)
      • 23. Binkert, N., Beckmann, B., Black, G., et al: ‘The gem5 simulator’, ACM SIGARCH Comput. Archit. News, 2011, 39, (2), pp. 17.
    23. 23)
      • 22. Maroun, E.J., Hansen, H.E., Kristensen, A.T., et al: ‘Time-predictable synchronization support with a shared scratchpad memory’, Int. J. Microprocess. Microsyst., 2019, 64, (1), pp. 3442.
    24. 24)
      • 9. Reineke, J., Liu, I., Patel, H.D., et al: ‘Pret dram controller: bank privatization for predictability and temporal isolation’. Proc. Int. Conf. IEEE/ACM/IFIP Hardware/software Codesign and System Synthesis, New York, USA, October 2011, pp. 99108.
    25. 25)
      • 12. Akesson, B., Goossens, K., Ringhofer, M.: ‘Predator: a predictable SDRAM memory controllers’. Proc. Int. Conf. IEEE/ACM/IFIP Conf. on Hardware/Software Codesign and System Synthesis, Salzburg, Austria, September 2007, pp. 251256.
    26. 26)
      • 24. https://www.xilinx.com/support/documentation/sw_manuals/xilinx11/isehelp_start.htm, accessed 1 July 2014.
    27. 27)
      • 18. Xie, G., Zeng, G., Li, Z., et al: ‘Adaptive dynamic scheduling on multi-functional mixed criticality automotive cyber-physical systems’, IEEE Trans. Veh. Technol., 2017, 66, (8), pp. 66766692.
    28. 28)
      • 21. Schoeberi, M.: ‘Design of a time-predictable multicore processor: the T-CREST project’. Proc. Int. Conf. Design, Automation & Test in Europe Conf. & Exhibition (DATE), Dresden, Germany, March 2018, pp. 909912.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2018.5031
Loading

Related content

content/journals/10.1049/iet-cdt.2018.5031
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading