Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Accuracy enhancement of equal segment based approximate adders

Recently, several approximate adders have been proposed based on the design concept of Equal Segment Adder (ESA), i.e. to segment an N-bit adder into several smaller and independent equal size sub-adders. In this study, the authors propose analytical models to estimate Pass Rate (PR), delay, power and area of ESAs, where PR represents the probability of output to be correct. From the proposed analytical models, they observe that there is a scope and need for improvement in quality-effort curves of existing ESAs. Intended to improve the quality-effort curves, they propose modifications in existing ESAs with design objective that modified ESAs provide higher accuracy without imposing any additional delay, power and area overheads. Both the authors’ analytical and simulation results show that modified ESAs provide higher accuracy, better quality-effort curves and more optimal Delay–Power–Area–Accuracy trade-off as compared to original ESAs. In addition to accuracy enhancement, the proposed approach also provides improvements in delay and power when ESAs are used with Error Detection and Correction logic. For evaluating the effectiveness of the proposed approach in real-life applications, they process Lena image using original ESAs and modified ESAs. Their image processing results show that modified ESAs provide more precise images as compared to original ESAs.

References

    1. 1)
      • 4. Hill, M., Kozyrakis, C.: ‘Advancing computer systems without technology progress’. ISAT Outbrief, DARPA/ISAT Workshop, 2012.
    2. 2)
      • 39. Kudelka, M.: ‘Image quality assessment’. Annual Conf. of Doctoral Students (WDS), Prague, May 2012, pp. 9499.
    3. 3)
      • 27. Dutt, S., Nandi, S., Trivedi, G.: ‘Analysis and design of adders for approximate computing’, ACM Trans. Embed. Comput. Syst., 2017, 17, pp. 40:140:28.
    4. 4)
      • 15. Lu, S.L.: ‘Speeding up processing with approximation circuits’, IEEE Trans. Comput., 2004, 37, pp. 6773.
    5. 5)
      • 1. Thaker, D.D., Franklin, D., Oliver, J., et al: ‘Characterization of error-tolerant applications when protecting control data’. IEEE Int. Symp. on Workload Characterization, San Jose, CA, USA, October 2006, pp. 142149.
    6. 6)
      • 40. Vanne, J., Aho, E., Hamalainen, T.D., et al: ‘A high-performance sum of absolute difference implementation for motion estimation’, IEEE Trans. Circuits Syst. Video Technol., 2006, 16, pp. 876883.
    7. 7)
      • 16. Verma, A., Brisk, P., Ienne, P.: ‘Variable latency speculative addition: A new paradigm for arithmetic circuit design’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), Munich, Germany, March 2008, pp. 12501255.
    8. 8)
      • 21. Kim, Y., Zhang, Y., Li, P.: ‘An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems’. IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD), San Jose, CA, USA, November 2013, pp. 130137.
    9. 9)
      • 10. Shin, D., Gupta, S.K.: ‘A re-design technique for datapath modules in error tolerant applications’. IEEE Asian Test Symp. (ATS), Sapporo, Japan, 2008, pp. 431437.
    10. 10)
      • 34. Mazahir, S., Hasan, O., Hafiz, R., et al: ‘An area-efficient consolidated configurable error correction for approximate hardware accelerators’. ACM/EDAC/IEEE Design Automation Conf. (DAC), Austin, TX, USA, June 2016, pp. 96:196:6.
    11. 11)
      • 13. Yang, Z., Jain, A., Liang, J., et al: ‘Approximate XOR/XNOR-based adders for inexact computing’. IEEE Conf. Nanotechnology (IEEE-NANO), Beijing, China, August 2013, pp. 690693.
    12. 12)
      • 26. Liang, J., Han, J., Lombardi, F.: ‘New metrics for the reliability of approximate and probabilistic adders’, IEEE Trans. Comput., 2013, 62, pp. 17601771.
    13. 13)
      • 20. Kahng, A., Kang, S.: ‘Accuracy-configurable adder for approximate arithmetic designs’. ACM/EDAC/IEEE Design Automation Conf. (DAC), San Francisco, CA, USA, June 2012, pp. 820825.
    14. 14)
      • 37. Jie, C., Liu, K.J., Koc, U.-V.: ‘Design of digital video coding systems: a complete compressed domain approach’ (CRC Press Publishing Company, USA, 2001).
    15. 15)
      • 5. Mittal, S.: ‘A survey of techniques for approximate computing’, ACM Comput. Surv., 2016, 48, pp. 62:162:33.
    16. 16)
      • 6. Shafique, M., Hafiz, R., Rehman, S., et al: ‘Invited: cross-layer approximate computing: from logic to architectures’. ACM/ EDAC/IEEE Design Automation Conf. (DAC), Austin, TX, USA, June 2016, pp. 16.
    17. 17)
      • 24. Shafique, M., Ahmad, W., Hafiz, R., et al: ‘A low latency generic accuracy configurable adder’. ACM/EDAC/IEEE Design Automation Conf. (DAC), San Francisco, CA, USA, June 2015, pp. 16.
    18. 18)
      • 17. Zhu, N., Goh, W.L., Yeo, K.S.: ‘An enhanced low-power high-speed adder for error-tolerant application’. Int. Symp. on Integrated Circuits (ISIC), Singapore, December 2009, pp. 6972.
    19. 19)
      • 32. PTM: ‘Predictive technology model (PTM)’, Nanoscale Integration and Modeling Group, available at: http://ptm.asu.edu/modelcard/32nm_MGK.pm.
    20. 20)
      • 28. Weste, N., Harris, D.: ‘CMOS VLSI design: a circuits and systems perspective’ (Addison-Wesley Publishing Company, USA, 2010).
    21. 21)
      • 2. Li, X., Yeung, D.: ‘Application-level correctness and its impact on fault tolerance’. IEEE Int. Symp. on High Performance Computer Architecture, Scottsdale, AZ, USA, February 2007, pp. 181192.
    22. 22)
      • 12. Gupta, V., Mohapatra, D., Raghunathan, A., et al: ‘Low-power digital signal processing using approximate adders’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2013, 32, pp. 124137.
    23. 23)
      • 23. Junjun, H., Weikang, Q.: ‘A new approximate adder with low relative error and correct sign calculation’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), Grenoble, France, March 2015, pp. 14491454.
    24. 24)
      • 19. Du, K., Varman, P., Mohanram, K.: ‘High performance reliable variable latency carry select addition’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), Dresden, Germany, March 2012, pp. 12571262.
    25. 25)
      • 11. Mahdiani, H.R., Ahmadi, A., Fakhraie, S.M., et al: ‘Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications’, IEEE Trans. Circuits Syst. I, 2010, 57, pp. 850862.
    26. 26)
      • 30. Tanner: ‘Tanner S-edit schematic capture’, Mentor Graphics, available at: https://www.mentor.com/tannereda/s-edit.
    27. 27)
      • 41. Wang, Z., Bovik, A., Sheikh, H., et al: ‘Image quality assessment: from error visibility to structural similarity’, IEEE Trans. Image Process., 2004, 13, pp. 600612.
    28. 28)
      • 38. USC-SIPI. ‘The USC-SIPI image database’, University of Southern California, USA, available at: http://sipi.usc.edu/database/database.php.
    29. 29)
      • 14. Dutt, S., Patel, H., Nandi, S., et al: ‘Exploring approximate computing for yield improvement via Re-design of adders for error-resilient applications’. Int. Conf. on VLSI Design (VLSID), Kolkata, India, January 2016, pp. 134139.
    30. 30)
      • 31. Hspice: ‘The gold standard for accurate circuit simulation’, Synopsys Graphics, available at: http://www.synopsys.com/Tools/Verification/AMSVerification/CircuitSimulation/HSPICE/Pages/default.aspx.
    31. 31)
      • 7. Jiang, H., Han, J., Lombardi, F.: ‘A comparative review and evaluation of approximate adders’. ACM Great Lakes Symp. on VLSI (GLSVLSI), Pittsburgh, Pennsylvania, USA, May 2015, pp. 343348.
    32. 32)
      • 29. Sakurai, T., Newton, A.R.: ‘Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas’, IEEE J. Solid-State Circuits, 1990, 25, pp. 584594.
    33. 33)
      • 36. Henry, M.B.: ‘Emerging power-gating techniques for low power digital circuits’ (Virginia Tech, USA, 2011).
    34. 34)
      • 8. Dutt, S., Nandi, S., Trivedi, G.: ‘A comparative survey of approximate adders’. 26th Int. Conf. Radioelektronika (RADIOELEKTRONIKA), Kosice, Slovakia, April 2016, pp. 6165.
    35. 35)
      • 18. Mohapatra, D., Chippa, V.K., Raghunathan, A., et al: ‘Design of voltage-scalable meta-functions for approximate computing’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), Grenoble, France, March 2011, pp. 16.
    36. 36)
      • 33. Esposito, D., Caro, D.D., Strollo, A.G.M.: ‘Variable latency speculative parallel prefix adders for unsigned and signed operands’, IEEE Trans. Circuits Syst. I, Regul. Pap., 2016, 63, pp. 12001209.
    37. 37)
      • 35. Xu, W., Sapatnekar, S.S., Hu, J.: ‘A simple yet efficient accuracy configurable adder design’. IEEE/ACM Int. Symp. on Low Power Electronics and Design (ISLPED), Taipei, Taiwan, July 2017, pp. 16.
    38. 38)
      • 3. Chippa, V., Chakradhar, S., Roy, K., et al: ‘Analysis and characterization of inherent application resilience for approximate computing’. ACM/EDAC/IEEE Design Automation Conf. (DAC), Austin, TX, USA, May 2013, pp. 19.
    39. 39)
      • 9. Parhami, B.: ‘Computer arithmetic: algorithms and hardware designs’ (Oxford University Press, UK, 2010).
    40. 40)
      • 25. Yang, X., Xing, Y., Qiao, F., et al: ‘Approximate adder with hybrid prediction and error compensation technique’. IEEE Computer Society Annual Symp. on VLSI (ISVLSI), Pittsburgh, PA, USA, July 2016, pp. 373378.
    41. 41)
      • 22. Ye, R., Wang, T., Yuan, F., et al: ‘On reconfiguration-oriented approximate adder design and its application’. IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD), San Jose, CA, USA, November 2013, pp. 4854.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2017.0171
Loading

Related content

content/journals/10.1049/iet-cdt.2017.0171
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address