access icon free Energy-efficient fault tolerant technique for deflection routers in two-dimensional mesh Network-on-Chips

New generation multi-processor system-on-chips integrate hundreds of processing elements in a single chip which communicate with each other through on-chip communication networks, commonly known as network-on-chip (NoC). Routers are the most critical NoC components and deflection routing is a technique used in buffer-less routers for better energy efficiency. Massive integration of devices along with fabrication at deep sub-micron level feature sizes increases the possibility of wear out and damage to various components resulting in unreliable operation of the chip. Hence NoC fabric in general and routers, in particular, should be equipped with built-in fault tolerance mechanisms to ensure the reliability of the chip in the presence of faults. The authors propose an energy-efficient routing technique that can tolerate permanent faults in NoC links by introducing a simple logic unit placed next to the output port allocation stage of the deflection router pipeline. This technique incurs minimum wiring overheads and promises a stable network throughput for high fault rates. Evaluation of the proposed method on 8 × 8 mesh NoC for various fault rates reports reduced flit deflection rate and hop power which brings about a significant reduction in dynamic power consumption at the inter-router links compared to state-of-the-art fault tolerance techniques.

Inspec keywords: integrated circuit reliability; network routing; fault tolerant computing; network-on-chip

Other keywords: logic unit; high fault rates; NoC links; deflection router pipeline; flit deflection rate; on-chip communication networks; inter-router links; output port allocation stage; chip reliability; energy-efficient fault-tolerant technique; SoC; two-dimensional mesh network-on-chips; hop power; deep submicron level feature sizes; new generation multiprocessor system-on-chips; buffer-less routers; dynamic power consumption reduction; permanent faults

Subjects: Digital circuit design, modelling and testing; Network-on-chip; Network-on-chip; Reliability

References

    1. 1)
      • 5. Fallin, C., Craik, C., Mutlu, O.: ‘CHIPPER: a low complexity bufferless deflection router’. Proc. Int. Symp. High Performance Computer Architecture, Washington, DC, USA, February 2011, pp. 144155.
    2. 2)
      • 28. ‘Booksim 2.0 User's Guide, 2010’, available at http://nocs.stanford.edu, accessed 01 February 2014.
    3. 3)
      • 8. Joanna, G.R., Jose, J., Radhakrishnan, R., et al: ‘MinBSD: minimally buffered single cycle deflection router’. Proc. 2014 Design, Automation and Test in Europe Conf. and Exhibition (DATE), Dresden, Germany, March 2014, pp. 14.
    4. 4)
      • 9. ‘Int. Technology Roadmap for Semiconductors Interconnect, 2009’, available at http://public.itrs.net/, accessed 20 April 2016.
    5. 5)
      • 12. Fattah, M., Airola, A., Ausavarungnirun, R., et al: ‘A low overhead, fully distributed, guaranteed delivery routing algorithm for faulty network-on-chips’. Proc. NOC Symp., Vancouver, Canada, September 2015, pp. 18.
    6. 6)
      • 30. Ubal, R., Sahuquillo, J., Petit, S., et al: ‘Multi2Sim: a simulation framework to evaluate multicore-multithreaded processors’. Proc. Intl. Symp. Computer Architecture and High Performance Computing, Brazil, October 2007, pp. 6268.
    7. 7)
      • 6. Fallin, C., Nazario, G., Yu, X., et al: ‘MinBD: minimally-buffered deflection routing for energy-efficient interconnect’. Proc. NOC Symp., Denmark, May 2012, pp. 110.
    8. 8)
      • 11. Rounge, A.: ‘Fault tolerant network on chip based on fault aware flits and deflection routing’. Proc. NOC Symp., Vancouver, Canada, September 2015, pp. 916.
    9. 9)
      • 26. Feng, C., Lu, Z., Jantsch, A., et al: ‘FoN: fault-on-neighbor aware routing algorithm for networks-on-chip’. Proc. SoC Conf., Incheon, Korea, September 2010, pp. 441446.
    10. 10)
      • 19. Zhang, Z., Greiner, A., Taktak, S.: ‘A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip’. Proc. ACM/IEEE Design Automation Conf., CA, USA, 2008, pp. 441446.
    11. 11)
      • 22. Dally, W.: ‘Virtual-channel flow control’, IEEE Trans. Parallel Distrib. Syst., 1992, 3, (2), pp. 194205.
    12. 12)
      • 13. Rodrigo, S., Medardoni, S., Flich, J., et al: ‘Efficient implementation of distributed routing algorithms for NoCs’, IET Comput. Digit. Tech., 2009, 3, (5), pp. 460475.
    13. 13)
      • 20. Balboni, M., DeOrio, A., Peh, L., et al: ‘Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration’. Proc. 2015 Design, Automation and Test in Europe Conf. and Exhibition, Grenoble, France, March 2015, pp. 806811.
    14. 14)
      • 16. Fick, D., DeOrio, A., Chen, G., et al: ‘A highly resilient routing algorithm for fault-tolerant NoCs’. Proc. 2009 Design, Automation and Test in Europe Conf. and Exhibition, Nice, France, April 2009, pp. 2126.
    15. 15)
      • 3. Dally, W., Towles, B.: ‘Principles and practices of interconnection networks’ (Morgan Kaufmann Publishers Inc., USA, 2003).
    16. 16)
      • 15. Bishnoy, R., Laxmi, V., Gaur, M.S., et al: ‘d2-LBDR: distance-driven routing to handle permanent failures in 2D mesh NoCs’. Proc. 2015 Design, Automation and Test in Europe Conf. and Exhibition, Dresden, Germany, March 2015, pp. 800805.
    17. 17)
      • 2. Bell, S., Edwards, B., Amann, J., et al: ‘TILE64-processor: a 64-core SoC with mesh interconnect’. Proc. IEEE Int. Solid-State Circuits Conf., San Francisco, CA, USA, February 2008, pp. 588598.
    18. 18)
      • 23. Zou, Y., Pasricha, S.: ‘NARCO: neighbor aware turn model-based fault tolerant routing for NoCs’, IEEE Embedded Syst. Lett., 2010, 2, (3), pp. 8589.
    19. 19)
      • 18. Aisopos, K., DeOrio, A., Peh, L., et al: ‘ARIADNE: agnostic reconfiguration in a disconnected network environment’. Proc. Int. Conf. Parallel Architectures and Compilation Techniques, Texas, USA, October 2011, pp. 298309.
    20. 20)
      • 17. Wachter, E., Erichsen, A., Amory, A., et al: ‘Topology- agnostic fault-tolerant NoC routing method’. Proc. 2013 Design, Automation and Test in Europe Conf. and Exhibition, Grenoble, France, March 2013, pp. 15951600.
    21. 21)
      • 7. Jose, J., Nayak, B., Kumar, K., et al: ‘DeBAR: deflection based adaptive router with minimal buffering’. Proc. 2013 Design, Automation and Test in Europe Conf. and Exhibition (DATE), Grenoble, France, March 2013, pp. 15831588.
    22. 22)
      • 24. Iordanou, C., Soteriou, V., Aisopos, K.: ‘Hermes: architecting a top-performing fault-tolerant routing algorithm for networks-on-chips’. Proc. IEEE Int. Conf. Computer Design, Seoul, Korea, October 2014, pp. 424431.
    23. 23)
      • 21. Bose, P., Morin, P., Stojmenovic, I., et al: ‘Routing with guaranteed delivery in ad hoc wireless networks’, Wirel. Netw., 2001, 7, (6), pp. 609616.
    24. 24)
      • 10. Feng, C., Lu, Z., Jantsch, A., et al: ‘Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router’, IEEE Trans. VLSI, 2013, 21, (6), pp. 10531066.
    25. 25)
      • 1. Hoskote, Y., Vangal, S., Singh, A., et al: ‘A 5-GHz mesh interconnect for a teraflops processor’, IEEE Micro, 2007, 27, (5), pp. 5161.
    26. 26)
      • 31. Kahng, A.B., Li, B., Peh, L., et al: ‘Orion 2.0: a fast and accurate NoC power and area model for early stage design space exploration’, IEEE Trans. VLSI, 2012, 20, (1), pp. 191196.
    27. 27)
      • 25. Sleeba, S.Z., Jose, J., Mini, M.G.: ‘WeDBless: weighted deflection bufferless router for mesh NoCs’. Proc. Great Lakes Symp. VLSI, Houston, TX, USA, May 2014, pp. 7778.
    28. 28)
      • 4. Moscibroda, T., Mutlu, O.: ‘A case for bufferless routing in on-chip networks’. Proc. Int. Symp. Computer Architecture, Austin, TX, USA, June 2009, pp. 196207.
    29. 29)
      • 27. Lee, J., Nicopoulos, C., Sung, J.P., et al: ‘Do we need wide flits in networks-on-chip?’. Proc. IEEE Computer Society Annual Symp. VLSI, Natal, Brazil, August 2013, pp. 27.
    30. 30)
      • 14. Parikh, R., Bertacco, V.: ‘uDIREC: unified diagnosis and reconfiguration for frugal bypass of NoC faults’. Proc. Int. Symp. Microarchitecture, Davis, CA, December 2013, pp. 148159.
    31. 31)
      • 29. Henning, J.: ‘SPEC CPU 2006 benchmark descriptions’. SIGARCH Computer Architecture News, 2006.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2017.0006
Loading

Related content

content/journals/10.1049/iet-cdt.2017.0006
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading