access icon free Inexact-aware architecture design for ultra-low power bio-signal analysis

This study introduces an inexact, but ultra-low power, computing architecture devoted to the embedded analysis of bio-signals. The platform operates at extremely low voltage supply levels to minimise energy consumption. In this scenario, the reliability of static RAM (SRAM) memories cannot be guaranteed when using conventional 6-transistor implementations. While error correction codes and dedicated SRAM implementations can ensure correct operations in this near-threshold regime, they incur in significant area and energy overheads, and should therefore be employed judiciously. Herein, the authors propose a novel scheme to design inexact computing architectures that selectively protects memory regions based on their significance, i.e. their impact on the end-to-end quality of service, as dictated by the bio-signal application characteristics. The authors illustrate their scheme on an industrial benchmark application performing the power spectrum analysis of electrocardiograms. Experimental evidence showcases that a significance-based memory protection approach leads to a small degradation in the output quality with respect to an exact implementation, while resulting in substantial energy gains, both in the memory and the processing subsystem.

Inspec keywords: medical signal processing; electrocardiography; quality of service; SRAM chips

Other keywords: selective memory region protection; voltage supply levels; inexact computing architecture design; area overhead; processing subsystem; ultra-low power biosignal analysis; inexact-aware architecture design; energy consumption minimisation; SRAM implementations; end-to-end quality-of-service; substantial energy gains; industrial benchmark application; electrocardiogram power spectrum analysis; biosignal application characteristics; significance-based memory protection approach; near-threshold regime; energy overhead; error correction codes

Subjects: Bioelectric signals; Electrical activity in neurophysiological processes; Memory circuits; Biology and medical computing; Electrodiagnostics and other electrical measurement techniques; Digital signal processing; Semiconductor storage; Signal processing and detection

References

    1. 1)
      • 21. Muralimanohar, N., Balasubramonian, R., Jouppi, N.P.: ‘CACTI 6.0: A tool to model large caches’. (HP Laboratories, Chicago, USA, 2009), pp. 2231.
    2. 2)
    3. 3)
      • 14. Bortolotti, D., Mamaghanian, H., Bartolini, A., et al: ‘Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor’. Proc. of 2014 IEEE Int. Symp. on Low Power Electronics and Design (ISLPED 2014), EPFL-CONF-200128, IEEE/ACM Press, 2014, vol. 1, no. pp. 4045.
    4. 4)
      • 20. Ashouei, M., Hulzink, J., Konijnenburg, M., et al: ‘A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1 MHz and 0.4 V’. 2011 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), 2011, pp. 332334.
    5. 5)
      • 7. Mamaghanian, H., Khaled, N., Atienza, D., et al: ‘Compressed sensing for real-time energy-efficient ECG compression on wireless body sensor bodes’. IEEE Trans. Biomed. Eng., 2011, vol. 58, no. 9, pp. 24562466.
    6. 6)
      • 18. Basu, S.S., Garcia del Valle, P., Ansaloni, G., et al: ‘Heterogeneous error-resilient scheme for spectral analysis in ultra-low power wearable electrocardiogram devices’. IEEE Annual Symp. on VLSI, 2015.
    7. 7)
      • 3. Braojos, R., Dogan, A., Beretta, I., et al: ‘Hardware/software approach for code synchronization in low-power multi-core sensor nodes’, Design, Automation and Test in Europe Conf. and Exhibition (DATE), 2014.
    8. 8)
      • 1. MEP Heart Group: ‘Cardiovascular diseases facts and figures’. Available at http://www.mepheartgroup.eu/index.php/facts-a-figures.
    9. 9)
      • 10. Milosevic, J., Dittrich, A., Ferrante, A., et al: ‘Risk assessment of atrial fibrillation: a failure prediction approach’. Computing in Cardiology Conf.(CinC), 2014, 2014, pp. 801804.
    10. 10)
    11. 11)
      • 30. Zuolo, L., Morandi, G., Zambelli, C., et al: ‘System interconnect extensions for fully transparent demand paging in low-cost MMU-less embedded systems’. Int. Symp. in System on Chip, 2013.
    12. 12)
      • 29. The Cortex M3 Processor.Available at http://www.arm.com/products/processors/cortex-m/cortex-m3.php.
    13. 13)
    14. 14)
      • 9. Massé, F., Van Bussel, M., Serteyn, A., et al: ‘Miniaturized wireless ECG monitor for real-time detection of epileptic seizures’, ACM Trans. Embedded Comput. Syst. (TECS), 2013, 12, (4), p. 102.
    15. 15)
      • 8. Bortolotti, D., Bartolini, A., Weis, C., et al: ‘Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors’. Design, Automation and Test in Europe Conf. and Exhibition (DATE), 2014, 2014, pp. 16.
    16. 16)
    17. 17)
      • 11. Sörnmo, L., Laguna, P.: ‘Bioelectrical signal processing in cardiac and neurological applications’ (Academic Press, Burlington, USA, 2005).
    18. 18)
      • 6. Du, Z., Lingamneni, A., Chen, Y., et al: ‘Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators’. 19th Asia and South Pacific Design Automation Conf. (ASP-DAC), 2014, pp. 201206.
    19. 19)
      • 26. Boichat, N., Atienza, D., Khaled, N.: ‘Wavelet-based ECG delineation on a wearable embedded sensor platform’ (BSN, Washington DC, USA, 2009).
    20. 20)
    21. 21)
    22. 22)
    23. 23)
    24. 24)
      • 25. Karakonstantis, G., Sankaranarayanan, A., Burg, A.: ‘Low complexity spectral analysis of heart-rate-variability through a wavelet based FFT’. Computing in Cardiology Conf. (CinC), 2012, September, 2012, pp. 285288.
    25. 25)
      • 28. PhysioBank Database. Available at http://www.physionet.org/physiobank/.
    26. 26)
      • 4. Braojos, R., Giovanni, A., Atienza, D.: ‘A methodology for embedded classification of heartbeats using random projections’. Design, Automation and Test in Europe Conf. and Exhibition (DATE), IEEE, 2013, 2013, pp. 899904.
    27. 27)
      • 5. Ganapathy, S., Karakonstantis, G., Teman, A., et al: ‘Mitigating the impact of faults in unreliable memories for error-resilient applications’. Proc. Design Automation Conf., 2015.
    28. 28)
    29. 29)
      • 24. Karakonstantis, G., Sankaranarayanan, A., Sabry, M.M., et al: ‘A quality-scalable and energy-efficient approach for spectral analysis of heart rate variability’. Design, Automation and Test in Europe Conf. and Exhibition (DATE), 2014, 2014, pp. 16.
    30. 30)
      • 12. Chou, C.C., Tseng, S.Y., Chua, E., et al: ‘Advanced ECG processor with HRV analysis for real-time portable health monitoring’. Consumer Electronics- Berlin (ICCE-Berlin), September 2011, pp. 172175.
    31. 31)
      • 19. Wang, A., Chandrakasan, A.: ‘A 180 mV FFT processor using subthreshold circuit techniques’. Solid-State Circuits Conf., 2004, vol. 1, pp. 292529.
    32. 32)
      • 16. Di Carlo, S., Savino, A., Scionti, A., et al: ‘Influence of parasitic capacitance variations on 65 nm and 32 nm predictive technology model SRAM core-cells’. IEEE 17th Asian Test Symp. (ATS), November, 2008.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2015.0194
Loading

Related content

content/journals/10.1049/iet-cdt.2015.0194
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading