access icon free Adaptively weighted round-robin arbitration for equality of service in a many-core network-on-chip

This study presents a technique called adaptively weighted round-robin (RR) arbitration for equality of service in a many-core network-on-chip. The authors concentrate on the network congested with various traffic patterns generated by the applications running on the system. It exploits the deterministic properties of the interconnection network – the topology and the routing algorithm – to achieve the global fairness in terms of service provided to each node with less resource requirements compared with previous work. The weights for input arbitration can be adjusted to make the network better adapted to various traffic patterns. It requires no additional information in packet headers. The hardware overhead is minimal, requiring only several small counters in addition to a typical RR arbiter. The critical path delay is also reduced due to its simplicity. The authors show the effectiveness by implementing RTL models of the routers and synthesizing them with 32/28 nm process technology. SPEC CPU2006 benchmark applications are executed in multi-programmed manner to show that the approach results in outstanding equality-of-service characteristics for real applications.

Inspec keywords: network-on-chip; multiprocessing systems

Other keywords: resource requirements; equality of service; adaptively weighted round-robin arbitration; traffic patterns; many core network-on-chip; packet headers; input arbitration; equality-of-service characteristics; SPEC CPU2006 benchmark applications; critical path delay; routing algorithm; RR arbitration; interconnection network

Subjects: Multiprocessing systems; Network-on-chip; Network-on-chip

References

    1. 1)
    2. 2)
    3. 3)
      • 23. Standard Performance Evaluation Corporation (SPEC), ‘SPEC CPU2006’, available at https://www.spec.org/cpu2006/, accessed March 2015.
    4. 4)
      • 17. Dimitrakopoulos, G., Kalligeros, E.: ‘Dynamic-priority arbiter and multiplexer soft macros for on-chip networks switches’. Proc. Design, Automation & Test in Europe (DATE), 2012, pp. 542545.
    5. 5)
      • 12. Abts, D., Weisser, D.: ‘Age-based packet arbitration in large-radix k-ary n-cubes’. Proc. Supercomputing (SC), 2007, pp. 111.
    6. 6)
      • 8. Lee, J.W., Ng, M.C., Asanović, K.: ‘Globally-synchronized frames for guaranteed quality-of-service in on-chip networks’. Proc. Int. Symp. on Computer Architecture (ISCA), 2008, pp. 89100.
    7. 7)
      • 25. Synopsys ‘DC Ultra’, available at http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/, accessed March 2015.
    8. 8)
      • 20. Howard, J., Dighe, S., Hoskote, Y., et al: ‘A 48-core IA-32 message-passing processor with DVFS in 45 nm CMOS’. Proc. Int. Solid-State Circuits Conf. (ISSCC), 2010, pp. 108109.
    9. 9)
      • 26. Synopsys, ‘Synopsys 32/28 nm Generic Library’, available at http://www.synopsys.com/Community/UniversityProgram/Pages/32-28nm-generic-library.aspx, accessed March 2015.
    10. 10)
      • 4. Micheli, G.D., Benini, L.: ‘Networks on chips: technology and tools’ (Morgan Kaufmann Publishers, 2006).
    11. 11)
    12. 12)
    13. 13)
      • 21. Bell, S., Edwards, B., Amann, J., et al: ‘TILE64 processor: A 64-core SoC with mesh interconnect’. Proc. Int. Solid-State Circuits Conf. (ISSCC), 2008, pp. 88598.
    14. 14)
      • 11. Foroutan, S., Sheibanyrad, A., Pétrot, F.: ‘Cost-efficient buffer sizing in shared-memory 3D-MPSoCs using wide I/O interfaces’. Proc. Design Automation Conf. (DAC), 2012, pp. 366375.
    15. 15)
      • 2. Dally, W.J., Towles, B.: ‘Route packets, not wires: On-chip interconnection networks’. Proc. Design Automation Conf. (DAC), 2001, pp. 684689.
    16. 16)
      • 6. Dally, W.J., Towles, B.: ‘Principles and practices of interconnection networks’ (Morgan Kaufmann Publishers, 2003).
    17. 17)
      • 10. Yao, Y., Lu, Z.: ‘Fuzzy flow regulation for network-on-chip based chip multiprocessors systems’. Proc. Asia and South Pacific Design Automation Conf. (ASP-DAC), 2014, pp. 343348.
    18. 18)
      • 14. Lee, M.M., Kim, J., Abts, D., et al: ‘Probabilistic distance-based arbitration: Providing equality of service for many-core CMPs’. Proc. Int. Symp. on Microarchitecture (MICRO), 2010, pp. 509519.
    19. 19)
      • 19. Accellera Systems Initiative’, ‘SystemC’, available at http://www.accellera.org/, accessed March 2015.
    20. 20)
      • 15. Wang, P., Ma, S., Lu, H., et al: ‘Adaptive remaining hop count flow control: Consider the interaction between packets’. Proc. Asia and South Pacific Design Automation Conf. (ASP-DAC), 2015, pp. 5460.
    21. 21)
      • 22. Sanchez, D., Kozyrakis, C.: ‘ZSim: fast and accurate microarchitectural simulation of thousand-core systems’. Proc. Int. Symp. on Computer Architecture (ISCA), 2013, pp. 475486.
    22. 22)
      • 7. Park, H., Choi, K.: ‘Position-based weighted round-robin arbitration for equality of service in many-core network-on-chips’. Proc. Int. Workshop on Network on Chip Architecture (NoCArc), 2012, pp. 5156.
    23. 23)
      • 1. Hennessy, J.L., Patterson, D.A.: ‘Computer architecture: A quantitative approach’ (Morgan Kaufmann, 2011, 5th edn.).
    24. 24)
    25. 25)
      • 9. Alfaraj, N., Zhang, J., Xu, Y., et al: ‘HOPE: Hotspot congestion control for Clos network on chip’. Proc. Int. Symp. on Networks-on-Chip (NOCS), 2011, pp. 1724.
    26. 26)
      • 13. Hu, J., Marculescu, R.: ‘Application-specific buffer space allocation for networks-on-chip router design’. Proc. Int. Conf. on Computer-Aided Design (ICCAD), 2004, pp. 354361.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2015.0049
Loading

Related content

content/journals/10.1049/iet-cdt.2015.0049
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading