Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free A fault-tolerant core mapping technique in networks-on-chip

This study proposes a fault-tolerant technique on application mapping and spare core allocation in networks-on-chip. The proposed technique sets the place of spare cores among free non-faulty processing cores, dynamically. Here, dynamically setting means that the places of spare cores are tuned for each application and are not fixed in the platform statically. Some vertices of each application core graph can be known as critical, based on their vulnerabilities, the performance degradation and the energy consumption overheads because of negative impacts of failure recovery. This technique locates the spare cores near to the critical cores. As the main theoretical contribution, the problem of spare core placement and its impression on system fault-tolerance properties is discussed. Some metrics are investigated to be considered in spare core allocation. The results of 1 000 000 fault injection experiments show that the proposed technique leads to communication energy reductions and performance improvement, compared with related works.

References

    1. 1)
      • 3. Wells, P.M., Chakraborty, K., Sohi, G.S.: ‘Adapting to intermittent faults in multicore systems’. Int. Conf. Architectural Support for Programming Languages and Operating Systems, 2008, pp. 255264.
    2. 2)
      • 8. Shamshiri, S., Cheng, K.-T.: ‘Modeling yield, cost, and quality of a spare-enhanced multicore chip’, IEEE Trans. Comput., 2011, 60, (9), pp. 12461259 (doi: 10.1109/TC.2011.32).
    3. 3)
      • 19. Derin, O., Kabakci, D., Fiorin, L.: ‘Online task remapping strategies for fault-tolerant Network-on-Chip multiprocessors’, Int. Symp. Networks on Chip, 201, pp. 129136.
    4. 4)
      • 2. He, O., Dong, S., Jang, W., Bian, J., Pan, D.Z.: ‘UNISM: unified scheduling and mapping for general networks on chip’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2011, 19, (12), pp. 114 (doi: 10.1109/TVLSI.2011.2162377).
    5. 5)
      • 21. http://www.intel.com/content/www/us/en/research/intel-labs-single-chip-cloud-computer.html.
    6. 6)
      • 13. Cotton, S., Maler, O., Legriel, J., Saidi, S.: ‘Multi-criteria optimization for mapping programs to multi-processors’. IEEE Int. Symp. Industrial Embedded Systems, 2011, pp. 917.
    7. 7)
      • 16. Bertozzi, S., Acquaviva, A., Bertozzi, D., Poggiali, A.: ‘Supporting task migration in multi-processor systems-on-chip: a feasible study’. Design Automation and Test in Europe, 2006, pp. 16.
    8. 8)
      • 12. Jang, W., Pan, D.Z.: ‘A3MAP: architecture-aware analytic mapping for networks-on-chip’. Asia and South Pacific Design Automation Conf., 2010, pp. 523528.
    9. 9)
      • 5. Hu, J., Marculescu, R.: ‘Energy- and performance-aware mapping for regular NoC architectures’, IEEE Trans. Computer-Aided Des. Integr. Circuits Syst., 2005, 24, (4), pp. 551562 (doi: 10.1109/TCAD.2005.844106).
    10. 10)
      • 25. http://www.kalray.eu/products/mppa-manycore.
    11. 11)
      • 17. Lee, C., Kim, H., Park, H., Kim, S., Oh, H., Ha, S.: ‘A task remapping technique for reliable multi-core embedded systems’. IEEE/ACM/IFIP Int. Conf. Hardware/Software Codesign and System Synthesis, 2010, pp. 307316.
    12. 12)
      • 14. Carvalho, E., Marcon, C., Calazans, N., Moraes, F.: ‘Evaluation of static and dynamic task mapping algorithms in NoC-based MPSoCs’. IEEE Int. Conf. System-on-Chip, 2009, pp. 087090.
    13. 13)
      • 26. http://lava.cs.virginia.edu/HotSpot/.
    14. 14)
      • 10. Task graphs for free (TGFF v3.0) Keith Vallerio, 2003. Available: http://ziyang.eecs.umich.edu/~dickrp/tgff/.
    15. 15)
      • 24. http://www.nvidia.com/object/cuda_home_new.html.
    16. 16)
      • 1. Tosun, S.: ‘New heuristic algorithm for energy aware application mapping’, Elsevier J. Syst. Architect., 2010, 57, (1), pp. 6978 (doi: 10.1016/j.sysarc.2010.10.001).
    17. 17)
      • 7. Chang, Y.-C., Chiu, C.-T., Lin, S.-Y., Liu, C.-K.: ‘On the design and analysis of fault tolerant NoC architecture using spare routers’. Asia and South Pacific Design Automation Conf., 2011, pp. 431436.
    18. 18)
      • 23. http://www.tilera.com/about_tilera/press-releases/tilera-announces-worlds-first-100-core-processor.
    19. 19)
      • 11. Noxim. Available: http://noxim.sourceforge.net/.
    20. 20)
      • 4. Kohler, A., Schley, G., Radetzki, M.: ‘Fault tolerant network on chip switching with graceful performance degradation’, IEEE Trans. Computer-Aided Des. Integr. Circuits Syst., 2010, 29, (6), pp. 883896 (doi: 10.1109/TCAD.2010.2048399).
    21. 21)
      • 20. Borkar, S.: ‘Thousand core chips: a technology perspective’. Design Automation Conf., 2007, pp. 746749.
    22. 22)
      • 15. ter Braak, T.D., Toersche, H.A., Kokkeler, A.B.J., Smit, G.J.M.: ‘Adaptive resource allocation for streaming applications’. IEEE Int. Conf. Embedded Computer Systems, 2011, pp. 388395.
    23. 23)
      • 6. Chou, C.-L., Marculescu, R.: ‘FARM: fault-aware resource management in NoC-based multiprocessor platform’. Design Automation and Test in Europe, 2011, pp. 16.
    24. 24)
      • 22. http://hothardware.com/News/Intel-Unviels-80core-Network-On-Single-Chip-Capable-Of-Teraflop-Performance/.
    25. 25)
      • 18. Ababei, C., Katti, R.: ‘Achieving network on chip fault tolerance by adaptive remapping’. Int. Parallel and Distributed Processing Symp., 2009, pp. 14.
    26. 26)
      • 9. Murali, S., Micheli, G.D.: ‘Bandwidth-constrained mapping of cores onto NoC architectures’. Design Automation and Test in Europe, 2004, pp. 896901.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2013.0032
Loading

Related content

content/journals/10.1049/iet-cdt.2013.0032
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address