Comparative analysis of soft and hard on-chip interconnects for field-programmable gate arrays

Access Full Text

Comparative analysis of soft and hard on-chip interconnects for field-programmable gate arrays

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

It is well-known that any logical functionality can be implemented using the reconfigurability in field-programmable gate arrays (FPGAs). However, the reconfigurability is traded with the reduced functional performance, increased cost and increased configuration overheads. Hardwiring the interconnect fabric is gaining notice as an alternative solution to tackle the mentioned problems. In this article, first, the authors present that hardwired built-in crossbars that can improve the performance of the inter-processor communication. The authors conduct an analysis of functional performance, cost and configuration cost for soft and hard crossbar (SBAR and HBAR) interconnects. The queuing model is applied to compare soft and hard interconnects. A motion JPEG (MJPEG) case study suggests that HBAR achieve significantly better throughput and less cost compared to SBAR. Second, the authors present the effectiveness of the hardwired network-on-chip (NoC) in FPGAs. Considering the Æthereal NoC, an analysis is conducted to compare hard and soft NoCs. Consequently, the analysis, implementation and simulation indicate that the hardwired networks perform significantly better than soft networks.

Inspec keywords: video coding; network-on-chip; queueing theory; field programmable gate arrays

Other keywords: SBAR; HBAR; MJPEG case study; motion JPEG case study; queuing model; soft crossbar; hardwired network-on-chip; hard crossbar; Æthereal NoC; hardwired built-in crossbars; inter-processor communication; field-programmable gate arrays; reduced functional performance; FPGA; increased configuration overheads

Subjects: Queueing theory; Network-on-chip; Queueing theory; Logic circuits; Logic and switching circuits; Network-on-chip

References

    1. 1)
      • Hur, J.Y., Goossens, K.G.W., Mhamdi, L.: `Performance analysis of soft and hard single-hop and multi-hop circuit-switched interconnects for FPGAs', IFIP/IEEE Int. Conf. Very Large Scale Integration (VLSI-SOC'08), October 2008, p. 224–229.
    2. 2)
      • Hansson, A., Goossens, K.: `Trade-offs in the configuration of a network on chip for multiple use-cases', IEEE Int. Symp. Networks-on-Chip (NOCS'07), p. 233–242.
    3. 3)
      • Virtex-II Pro Handbook, Xilinx, Inc., 2002, http://www.xilinx.com.
    4. 4)
      • Raaijmakers, S., Wong, S.: `Run-time partial reconfiguration for removal, placement and routing on the Virtex-II-Pro', Int. Conf. Field Programmable Logic and Applications (FPL'07), August 2007, p. 679–683.
    5. 5)
      • Open Core Protocol (OCP) Specification http://www.ocpip.org/.
    6. 6)
      • Goossens, K., Bennebroek, M., Hur, J.Y., Wahlah, M.A.: `Hardwired networks on chip in FPGAs to unify functional and configuration interconnects', IEEE Int. Symp. Networks-on-Chip (NOCS'08), April 2008, p. 45–54.
    7. 7)
    8. 8)
      • Hecht, R., Kubisch, S., Herrholtz, A., Timmermann, D.: `Dynamic reconfiguration with hardwired networks-on-chip on future FPGAs', Int. Conf. Field Programmable Logic and Applications (FPL'05), August 2005, p. 527–530.
    9. 9)
    10. 10)
      • Hur, J.Y., Stefanov, T., Wong, S., Vassiliadis, S.: `Customizing reconfigurable on-chip crossbar scheduler', IEEE Int. Conf. Application-specific Systems, Architectures and Processors (ASAP'07), July 2007, p. 210–215.
    11. 11)
      • Steiner, N., Athanas, P.: `An alternate wire database for Xilinx FPGAs', Proc. Field-Programmable Custom Computing Machines (FCCM'04), April 2004, p. 336–337.
    12. 12)
      • DeHon, A.: `Reconfigurable architectures for general-purpose computing', September 1996, PhD, Massachusetts Institute of Technology.
    13. 13)
    14. 14)
      • Harris, A.J., Mathewson, B.J., Wrigley, C.E.: `Bus deadlock avoidance', US, 7,219,178, ARM Ltd., 2007.
    15. 15)
      • Coenen, M., Murali, S., Rădulescu, A., Goossens, K., De Micheli, G.: `A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control', Int. Conf. HW/SW codesign and System Synthesis (CODES-ISSS'06), October 2006, p. 130–135.
    16. 16)
    17. 17)
      • Goossens, K., Dielissen, J., Gangwal, O.P., Pestana, S.G., Rădulescu, A., Rijpkema, E.: `A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification', Int. Conf. Design, Automation and Test in Europe (DATE'05), March 2005, p. 1182–1187.
    18. 18)
    19. 19)
      • Kim, J., Park, D., Nicopoulos, C., Vijaykrishnan, N., Das, C.R.: `Modeling and implementation of an output-queuing router for networks-on-chips', Symp. Architecture for Networking and Communications Systems (ANCS'05), October 2005, p. 173–182.
    20. 20)
      • Panades, I.M., Greiner, A.: `Bi-synchronous FIFO for synchronous circuit communication well suited for network-on-chip in GALS architectures', IEEE Int. Symp. Networks-on-Chip (NOCS'07), May 2007, p. 83–94.
    21. 21)
      • Steiner, N.: `A standalone wire database for routing and tracing in Xilinx Virtex, Virtex-E, and Virtex-II FPGAs', August 2002, Master, Virginia Polytechnic Institute and State University.
    22. 22)
      • Elmiligi, H., El-Kharashi, M.W., Gebali, F.: `Modeling and implementation of an output-queuing router for networks-on-chips', Int. Conf. Embedded Software and Systems (ICESS'07), May 2007, p. 241–248.
    23. 23)
      • Gindin, R., Cidon, I., Keidar, I.: `NoC-based FPGA: architecture and routing', IEEE Int. Symp. Networks-on-Chip (NOCS'07), May 2007, p. 253–264.
    24. 24)
    25. 25)
      • Passas, G., Katevenis, M., Pnevmatikatos, D.: `A 128×128×20Gb/S crossbar, interconnecting 128 tiles in a single hop, and occupying less than 5% of their area', ACM/IEEE Int. Symp. Networks-on-Chip (NOCS'10), May 2010.
    26. 26)
      • AMBA AXI 3 Protocol Specification, ARM Ltd. http://www.arm.com/.
    27. 27)
    28. 28)
      • Xilinx, Inc., http://www.xilinx.com/.
    29. 29)
      • Public repository for Frequently Asked Questions (FAQs) for designers of systems using FPGAs, http://www.fpga-faq.org.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2011.0169
Loading

Related content

content/journals/10.1049/iet-cdt.2011.0169
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading