Non-volatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories

Access Full Text

Non-volatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

This study describes the integration of thermally assisted switching magnetic random access memories (TAS-MRAMs) in field-programmable gate array (FPGA) design. The non-volatility is achieved through the use of magnetic tunnelling junctions (MTJs) in an MRAM cell. A TAS scheme is used to write data in the MTJ device, which helps to reduce power consumption during a write operation in comparison with the conventional writing scheme used in MTJ devices. Furthermore, the non-volatility allows reducing both power consumption and configuration time required at each power-up of the circuit in comparison with classical static random access memory-based FPGAs. An innovative architecture furthermore provides run-time reconfigurable (RTR) support at minimum area overhead. A RTR FPGA element using TAS-MRAM allows dynamic reconfiguration mechanisms, while featuring simple design architecture.

Inspec keywords: field programmable gate arrays; MRAM devices; magnetic tunnelling; logic design

Other keywords: switching magnetic random access memories; run time reconfigurable support; magnetic tunnelling junctions; nonvolatility; field programmable gate arrays structures; MRAM cell

Subjects: Storage on stationary magnetic media; Magneto-acoustic, magnetoresistive, magnetostrictive and magnetostatic wave devices; Logic design methods; Logic circuits; Logic and switching circuits; Digital circuit design, modelling and testing

References

    1. 1)
      • W.J. Gallagher , S.S.P. Parkin . Development of the magnetic tunnel junction MRAM at IBM: from first junctions to a 16-Mb MRAM demonstrator chip. IBM J. Res. Dev. , 5 - 23
    2. 2)
      • W. Black , B. Das . Programmable logic using giant-magneto-resistance and spin-dependent tunneling devices. J. Appl. Phys. , 6674 - 6679
    3. 3)
      • Freescale: available at http://www.freescale.com/, June 2006.
    4. 4)
      • I.L. Prejbeanu , M. Kerekes , R.C. Sousa , H. Sibuet , O. Redon , B. Dieny , J.P. Nozieres . Thermally assisted MRAM. J. Phys.: Condens. Matter
    5. 5)
      • S. Brown , R. Francis , J. Rose , Z. Vranesic . (1992) Field-programmable gate arrays.
    6. 6)
      • Bruchon, N., Torres, L., Sassatelli, G., Cambon, G.: `Magnetic tunneling junction based FPGA', FPGA’06, 14th ACM/SIGDA Int. Symp. on Field-Programmable Gate Arrays, 2006, Monterey, CA, USA, p. 123–130.
    7. 7)
      • Available at http://www.actel.com.
    8. 8)
      • Prenat, G., El Baraji, M., Guo, W.: `CMOS/magnetic hybrid architectures', Proc. 14th IEEE Int. Conf. on Electronics, Circuits and Systems, 11–14 December 2007, Marraekech, Morocco, p. 190–193.
    9. 9)
      • Zhao, W., Belhaire, E., Dieny, B., Prenat, G., Chapert, C.: `TAS-MRAM based non-volatile FPGA logic circuit', Proc. Int. Conf. on Field Programmable Logic and Applications (ICFPT), 2007.
    10. 10)
      • Hass, K.J.: `Magnetic shadow RAM', IEEE Non Volatile Memory Technology Symp., 2006, p. 45–48.
    11. 11)
      • Zhao, W., Belhaire, E., Mistral, Q., Nicolle, E., Devolder, T., Chappert, C.: `Integration of spin-RAM technology in FPGA circuits', Eighth Int. Conf. on Solid-State and Integrated Circuit Technology (ICSICT '06), October 2006.
    12. 12)
      • Aurélien, M.: `Magnetoresistance and spin transfer torque in magnetic tunnel junctions', 11 December 2007, PhD, Université Joseph-Fourier, GrenobleI.
    13. 13)
      • Javerliac, V.: `Magnetic tunnel junction compact device model for electrical simulations of spintronics components', Proc. Magnetism and Magnetic Materials, 30 October–3 November 2005, San Jose, USA.
    14. 14)
      • Bruchon, N., Torres, L., Sassatelli, G., Cambon, G.: `Technological hybridization for efficient runtime reconfigurable FPGAs', IEEE, ISVLSI, 2007.
    15. 15)
      • Min, S.: `Semiconductor flash memory scaling', 2003, PhD, University of California Berkeley.
    16. 16)
      • Available at: www.crocus-technology.com.
    17. 17)
      • Bruchon, N.: `Evaluation, validation and design of hybrid CMOS – non volatile emerging technology cells for dynamically reconfigurable fine grain architecture', 2007, UM2 PhD, .
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2009.0019
Loading

Related content

content/journals/10.1049/iet-cdt.2009.0019
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading