Fast and accurate statistical static timing analysis with skewed process parameter variation

Access Full Text

Fast and accurate statistical static timing analysis with skewed process parameter variation

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Circuits, Devices & Systems — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

A fast and accurate statistical static timing analysis approach is presented, which supports skewed non-Gaussian process parameter variations. First, the authors propose modelling of non-Gaussian sources of variation using a skew-normal random variable that can represent a large class of non-Gaussian distributions such as log-normal and Poisson. Secondly, the authors present a linear gate delay model in terms of skew-normal as well as Gaussian parameters. The high accuracy of the linear model is discussed and verified using Spice simulations. Thirdly, the authors approximate arrival time expressions as skewed (and not skew-normal) random variables in an effort to quickly capture their shapes without loss of accuracy. A proposed linear representation of skewed arrival times enables computing the exact analytical expression for the MAX of arrival times as well as for their first three moments, which can be evaluated in an efficient manner. Overall, the calculation of the MAX operation is done efficiently (i.e. comparable to the solely linear-Gaussian case) with high accuracy and restriction-free for a realistic representation of non-Gaussian process parameters.

Inspec keywords: normal distribution; Poisson distribution; random processes; SPICE; statistical analysis

Other keywords: Poisson distribution; linear gate delay model; skew-normal random variable; nonGaussian distribution; Spice simulation; statistical static timing analysis; log-normal distribution; skewed nonGaussian process parameter variation

Subjects: Semiconductor integrated circuit design, layout, modelling and testing; Computer-aided circuit analysis and design; Other topics in statistics

References

    1. 1)
      • Visweswariah, C., Ravindran, K., Kalafala, K.: `First-order incremental block-based statistical timing analysis', Design Automation Conf., 2004, p. 331–336.
    2. 2)
      • K. Bernstein , D.J. Frank , A.E. Gattiker . High-performance CMOS variability in the 65-nm regime and beyond. IBM J. Res. Dev. , 433 - 449
    3. 3)
      • H. Chang , S.S. Sapatnekar . Statistical timing analysis under spatial correlations. IEEE Trans. CAD , 9 , 1467 - 1482
    4. 4)
      • C. Fernandez , M.F.J. Steel . On Bayesian modelling of fat tails and skewness. J Am. Stat. Associ. , 441 , 359 - 371
    5. 5)
      • Khandelwal, V., Srivastava, A.: `A general framework for accurate statistical timing analysis considering correlations', Design Automation Conf., 2005, p. 89–94.
    6. 6)
      • Singh, J., Sapatnekar, S.S.: `Statistical timing analysis with correlated non-gaussian parameters using independent component analysis', Design Automation Conf., 2006, p. 155–160.
    7. 7)
      • Li, X., Le, J., Gopalakrishnan, P.: `Asymptotic probability extraction for non-normal distributions of circuit performance', Int. Conf. Computer-Aided Design, 2004, p. 2–9.
    8. 8)
      • Borkar, S., Karnik, T., Narendra, S.: `Parameter variations and impact on circuits and microarchitecture', Design Automation Conf., 2003, p. 338–342.
    9. 9)
      • ISCAS-85, available at: http://www.fm.vslib.cz/ kes/asic/iscas/.
    10. 10)
      • A. Asenov , S. Kaya , J.H. Davies . Intrinsic threshold voltage fluctuations in decanano MOSFETs due tolocal oxide thickness variations. IEEE Trans. Electron Devices , 1 , 112 - 119
    11. 11)
      • Orshansky, M., Bandyopadhyay, A.: `Fast statistical timing analysis handling arbitrary delay correlations', Design Automation Conf., 2004, p. 337–342.
    12. 12)
      • S.T. Ma , A. Keshavarzi , V. De . A statistical model for extracting geometric sources of transistor performance variation. IEEE Trans. Electron Devices , 1 , 36 - 41
    13. 13)
      • Available at: https://pdk.cadence.com/.
    14. 14)
      • Agarwal, A., Blaauw, D., Zolotov, V.: `Computation and refinement of statistical bounds on circuit delay', Design Automation Conf., 2003, p. 348–353.
    15. 15)
      • Najm, J.N.: `On the need for statistical timing analysis', Design Automation Conf., 2005, p. 764–765.
    16. 16)
      • Sentovich, E.M., Singh, K.J., Lavagno, L.: `SIS: a system for sequential circuit synthesis', Technical report, 1992.
    17. 17)
      • Nonlinear regression analysis program, available at: http://www.nlreg.com.
    18. 18)
      • Chang, H., Zolotov, V., Narayan, S.: `Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions', Design Automation Conf., 2005, p. 71–76.
    19. 19)
    20. 20)
      • Chopra, K., Zhai, B., Blaauw, D.: `A new statistical max operation for propagating skewness in statistical timing analysis', Int. Conf. Computer-Aided Design, 2006, p. 237–243.
    21. 21)
      • Roy, S., Chen, W., Chen, C.: `ConvexFit: an optimal minimum-error convex fitting and smoothing algorithm with application to gate-sizing', Int. Conf. Computer-Aided Design, 2005, p. 196–203.
    22. 22)
      • A. Asenov . Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 µm MOSFET's: a 3-D ‘atomistic’ simulation study. IEEE Trans. Electron Devices , 12 , 2505 - 2513
    23. 23)
      • A. Hyvarinen , E. Oja . Independent component analysis: algorithms and applications. Neural Netw. , 411 - 430
    24. 24)
      • C.E. Clark . The greatest of a finite set of random variables. J. Am. Stat. Assoc. , 441 , 359 - 371
    25. 25)
      • Zhang, L., Chen, W., Hu, Y.: `Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model', Design Automation Conf., 2005, p. 83–88.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds_20070189
Loading

Related content

content/journals/10.1049/iet-cds_20070189
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading