Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Design, evaluation and application of approximate-truncated Booth multipliers

Approximate computing provides a promising way to achieve low power design at the cost of acceptable error. As a core component in a processor, the performance of the multiplier is important. This study presents designs of approximate-truncated Booth multipliers (ATBMs) using proposed approximate modified radix-4 Booth encoders (AMBEs), approximate 4-2 compressors (ACs) and gradually truncated partial products. The accuracy of the ATBMs is adjustable with the so-called approximation factors that indicate the number of AMBEs and ACs used. The normalised mean error distance and the product of the power and delay are used to evaluate the error and the hardware performance of the multipliers. The results show that the proposed ATBMs outperform previous approximate Booth multipliers. Their validity is also shown with case studies of image processing, K-means clustering and handwritten digit recognition.

References

    1. 1)
      • 22. Cao, T., Liu, W., Wang, C., et al: ‘Design of approximate redundant binary multipliers’. Proc. IEEE/ACM Int. Symp. Nanoscale Architectures (NANOARCH), Beijing, China, 2016, pp. 3136.
    2. 2)
      • 30. Forgy, E.: ‘Cluster analysis of multivariate data: efficiency versus interpretability of classifications’, Biometrics, 1965, 21, pp. 768769.
    3. 3)
      • 11. Du, K., Varman, P., Mohanram, K.: ‘High performance reliable variable latency carry select addition’. Proc. Design, Automation & Test in Europe (DATE), Dresden, Germany, 2012, pp. 12571262.
    4. 4)
      • 32. LeCun, Y., Bottou, L., Bengio, Y., et al: ‘Gradient-based learning applied to document recognition’, Proc. IEEE, 1998, 86, (11), pp. 22782324.
    5. 5)
      • 3. Venkataramani, S., Chippa, V. K., Chakradhar, S.T.: ‘Quality programmable vector processors for approximate computing’. Proc. the 46th IEEE/ACM Int. Symp. Microarchitecture (MICRO), Davis, CA, USA, 2013, pp. 112.
    6. 6)
      • 2. Liu, W., Lombardi, F., Shulte, M.: ‘A retrospective and prospective view of approximate computing’, Proc. IEEE, 2020, 108, (3), pp. 394399.
    7. 7)
      • 26. Cho, K.J., Lee, K.C., Chung, J.G., et al: ‘Design of low error fixed-width modified booth multiplier’, IEEE Trans. VLSI Syst., 2004, 12, (5), pp. 522531.
    8. 8)
      • 15. Ye, R., Wang, T., Yuan, F., et al: ‘On reconfiguration-oriented approximate adder design and its application’. IEEE/ACM Int. Conf. Computer-Aided Design (ICCAD), San Jose, CA, USA, 2013, pp. 4854.
    9. 9)
      • 20. Momeni, A., Han, J., Montuschi, P., et al: ‘Design and analysis of approximate compressors for multiplication’, IEEE Trans. Comput., 2015, 64, (4), pp. 984994.
    10. 10)
      • 31. Rushi, L., Snehlata, D., Latesh, M.: ‘Class imbalance problem in data mining: review’, Int. J. Comput. Sci. Netw., 2013, 2, pp. 8387.
    11. 11)
      • 19. Jiang, H., Han, J., Qiao, F., et al: ‘Approximate radix-8 booth multipliers for low-power and high-performance operation’, IEEE Trans. Comput., 2016, 65, (8), pp. 26382644.
    12. 12)
      • 17. Yin, P., Wang, C., Liu, W.: ‘Design and performance evaluation of approximate floating-point multipliers’. IEEE Computer Society Annual Symp. VLSI (ISVLSI), Pittsburgh, PA, USA, 2016, pp. 296301.
    13. 13)
      • 25. Yeh, W., Jen, C.: ‘High-speed booth encoded parallel multiplier design’, IEEE Trans. Comput., 2000, 49, (7), pp. 692701.
    14. 14)
      • 12. Kim, Y., Zhang, Y., Li, P.: ‘An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems’. IEEE/ACM Int. Conf. Computer-Aided Design (ICCAD), San Jose, CA, USA, 2013, pp. 130137.
    15. 15)
      • 29. Wang, Z., Bovik, A. C., Sheikh, H.R., et al: ‘Image quality assessment: from error visibility to structural similarity’, IEEE Trans. Image Process., 2004, 13, pp. 600612.
    16. 16)
      • 4. Chippa, V., Chakradhar, S., Roy, K., et al: ‘Analysis and characterization of inherent application resilience for approximate computing’. Proc. the 50th Annual Design Automation Conf. (DAC), Austin, TX, USA, 2013, pp. 19.
    17. 17)
      • 14. Lin, C., Yang, Y.M., Lin, C.C.: ‘High-performance low-power carry speculative addition with variable latency’, IEEE Trans. Very Large Scale Integ. (VLSI) Syst., 2015, 23, (9), pp. 15911603.
    18. 18)
      • 13. Li, L., Zhou, H.: ‘On error modeling and analysis of approximate adders’. IEEE/ACM Int. Conf. Computer-Aided Design (ICCAD), San Jose, CA, USA, 2014, pp. 511518.
    19. 19)
      • 18. Kulkarni, P., Gupta, P., Ercegovac, M.D.: ‘Trading accuracy for power in a multiplier architecture’, J. Low Power Electron., 2011, 7, (4), pp. 490501.
    20. 20)
      • 7. Verma, A. K., Brisk, P., Ienne, P.: ‘Variable latency speculative addition: A new paradigm for arithmetic circuit design’. Proc. Design, Automation & Test in Europe (DATE), Munich, Germany, 2008, pp. 12501255.
    21. 21)
      • 23. Liu, W., Cao, T., Yin, P., et al: ‘Design and analysis of approximate redundant binary multipliers’, IEEE Trans. Comput., 2019, 68, (6), pp. 804819.
    22. 22)
      • 1. Venkataramani, S., Chakradhar, S. T., Roy, K., et al: ‘Computing approximately and efficiently’. Proc. Design, Automation & Test in Europe Conf. & Exhibition (DATE), Grenoble, France, 2015, pp. 748751.
    23. 23)
      • 33. LeCun, Y., Cortes, C., Burges, C. J.C.: ‘The MNIST database of handwritten digits’, 2010, Available at http://yann.lecun.com/exdb/mnist/.
    24. 24)
      • 16. Mahdiani, H.R., Ahmadi, A., Fakhraie, S.M., et al: ‘Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications’, IEEE Trans. Circuits and Syst. I, Regul. Pap., 2010, 57, (4), pp. 850862.
    25. 25)
      • 9. Mohapatra, D., Chippa, V.K., Raghunathan, A., et al: ‘Design of voltage-scalable meta-functions for approximate computing’. Proc. Design, Automation & Test in Europe (DATE), Grenoble, France, 2011, pp. 16.
    26. 26)
      • 28. Chen, Y.H., Chang, T.Y.: ‘A high-accuracy adaptive conditional-probability estimator for fixed-width booth multipliers’, IEEE Trans. Circuits Syst. I, Regul. Pap., 2012, 59, (3), pp. 594603.
    27. 27)
      • 8. Kahng, A.B., Kang, S.: ‘Accuracy-configurable adder for approximate arithmetic designs’. Proc. the 49th Annual Design Automation Conf. (DAC), San Francisco, CA, USA, 2012, pp. 820825.
    28. 28)
      • 5. Venkataramani, S., Chakradhar, S., Roy, K., et al: ‘Approximate computing and the quest for computing efficiency’. Proc. the 52nd Annual Design Automation Conf. (DAC), San Francisco, CA, USA, 2015, pp. 16.
    29. 29)
      • 27. Wang, J.P., Kuang, S.R., Liang, S.C.: ‘High-accuracy fixed-width modified booth multipliers for lossy applications’, IEEE Trans. VLSI Syst., 2011, 19, (1), pp. 5260.
    30. 30)
      • 6. Liang, J., Han, J., Lombardi, F.: ‘New metrics for the reliability of approximate and probabilistic adders’, IEEE Trans. Comput., 2013, 63, (9), pp. 17601771.
    31. 31)
      • 24. Ansari, M.S., Jiang, H., Cockburn, B.F., et al: ‘Low-power approximate multipliers using encoded partial products and approximate compressors’, IEEE J. Emerg. Sel. Top. Circuits Syst., 2018, 8, (3), pp. 404416.
    32. 32)
      • 10. Zhu, N., Goh, W.L., Yeo, K.S.: ‘An enhanced low-power high-speed adder for error-tolerant application’. Proc. the 12th Int. Symp. Integrated Circuits, Singapore, Singapore, 2009, pp. 6972.
    33. 33)
      • 21. Liu, W., Qian, L., Wang, C., et al: ‘Design of approximate radix-4 booth multipliers for error-tolerant computing’, IEEE Trans. Comput., 2017, 66, (8), pp. 14351441.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2019.0398
Loading

Related content

content/journals/10.1049/iet-cds.2019.0398
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address