access icon free High throughput FIR filter architectures using retiming and modified CSLA based adders

A methodology to improve the throughput of FIR filters through the effective use of retiming and efficient add–multiply operation is presented in this study. Delay, energy and area efficient linear and square root carry-select adder (CSLA) structures are obtained by combining modified forms of carry look-ahead and carry-skip adder concepts to concatenated CSLA modules. The computational speed is enhanced by the quick generation and transmission of the end module carries by the module carry generation blocks. The delay performance of booth multiplier is improved by performing the partial product addition using the proposed square root CSLA. Two versions of the proposed filters are (a) high throughput low power and low complex retimed FIR filter and (b) high throughput energy efficient retimed FIR filter. The critical path delay, power, power–delay product and area–delay product of the proposed filter-2 are reduced by 71, 38, 82 and 78%, respectively, with respect to flexible retimed filter and by 40, 11, 47 and 37%, respectively, with respect to modified transpose form filter for a filter length of 64. Cadence software with gpdk 45 nm standard cell library is used for the design and implementation.

Inspec keywords: logic design; low-power electronics; carry logic; multiplying circuits; adders; FIR filters

Other keywords: modified transpose form filter; high throughput energy efficiency; power–delay product; CSLA based adders; square root CSLA; high throughput low power; area–delay product; add–multiply operation; flexible retimed filter; carry look-ahead concept; modified CSLA based adders; square root carry-select adder structures; low complex retimed FIR filter; carry-skip adder concept; high throughput FIR filter architectures

Subjects: Logic and switching circuits; Digital filters; Digital arithmetic methods; Electrical/electronic equipment (energy utilisation); Logic circuits; Digital filters; Digital circuit design, modelling and testing

References

    1. 1)
      • 33. Swati, C., Himanshu, J.: ‘FIR filter designing using Wallace multiplier’, Int. J. Eng. Tech. Res., 2015, 3, (6), pp. 276278.
    2. 2)
      • 38. Meher, P.K.: ‘Seamless pipelining of DSP circuits’, J. Circuits Syst. Signal Process., 2016, 35, (4), pp. 11471162.
    3. 3)
      • 30. Yagain, D., Vijaya, K.A.: ‘Design of synthesizable, retimed digital filters using FPGA based path solvers with MCM approach: comparison and CAD tool’, VLSI Des., 2014, 2014, Article ID 280701, pp. 118.
    4. 4)
      • 15. Kogge, P.M., Stone, H.S.: ‘A parallel algorithm for the efficient solution of a general class of recurrence equations’, IEEE Trans. Comput., 1973, C22, (8), pp. 786793.
    5. 5)
      • 40. Pramod, P., Shahana, T.K.: ‘High throughput adaptive filter architecture using modified transpose form FIR filters’, J. Adv. Res. Dyn. Control Syst., 2018, 10, (15), pp. 6882.
    6. 6)
      • 12. Dao, H.Q., Zeydel, B.R., Oklobdzija, V.G.: ‘Energy optimization of pipelined digital systems using circuit sizing and supply scaling’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2006, 14, (2), pp. 122134.
    7. 7)
      • 35. Rai, S.N., Shree, B.S.P., Meghana, Y.P., et al: ‘Design and implementation of 16 tap FIR filter for DSP applications’. Proc. Second Int. Conf. Advances in Electronics Computers and Communications, Bengaluru, India, February 2018, pp. 910.
    8. 8)
      • 6. Ercegovac, M.D., Lang, T.: ‘Digital arithmetic’ (Mogan Kaufmann, San Mateo, CA, 2004).
    9. 9)
      • 4. Parhami, B.: ‘Computer arithmetic: algorithms and hardware designs’ (Oxford Univ. Press, New York, NY, USA, 2010, 2nd edn.).
    10. 10)
      • 24. Khoo, K.Y., Yu, Z., Alan, N.W.: ‘Design of optimal hybrid form FIR filter’. Proc. IEEE Symp., Sydney, Australia, May 2001, pp. 621624.
    11. 11)
      • 9. Rabaey, J.M., Chandrakasan, A., Nikolic, B.: ‘Digital integrated circuits: a design perspective’ (Pearson Education, India, 2017, 2nd edn.).
    12. 12)
      • 37. Meher, P.K., Park, S.Y.: ‘Critical-path analysis and low-complexity implementation of the LMS adaptive algorithm’, IEEE Trans. Circuits Syst. I, Regul. Pap., 2014, 61, (3), pp. 778788.
    13. 13)
      • 28. Maheshwari, N., Sapatnekar, S.: ‘Efficient retiming of large circuits’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 1998, 6, (1), pp. 7483.
    14. 14)
      • 23. Azadet, K., Nicole, C.J.: ‘Low-power equalizer architectures for high-speed modems’, IEEE Commun. Mag., 1998, 36, (10), pp. 118126.
    15. 15)
      • 26. Chandra, A., Chattopadhyay, S.: ‘Design of hardware efficient FIR filter: a review of the state-of-the-art approaches’, Eng. Sci. Technol. Int. J., 2016, 19, (1), pp. 212226.
    16. 16)
      • 32. Thakral, S., Goswami, D., Sharma, R., et al: ‘Design and implementation of a high speed digital FIR filter using unfolding’. Proc. IEEE 7th Int. Conf., Bikaner, India, November 2016.
    17. 17)
      • 14. Sklanski, J.: ‘Conditional-sum addition logic’, IRE Trans. Electron. Comput., 1960, EC-9, (2), pp. 226231.
    18. 18)
      • 16. Ceiang, T.Y., Hsiao, M.J.: ‘Carry-select adder using single ripple carry adder’, Electron. Lett., 1998, 34, (22), pp. 21012103.
    19. 19)
      • 7. Doran, R.W.: ‘Variants of an improved carry look ahead adder’, IEEE Trans. Comput., 1988, 37, (9), pp. 11101113.
    20. 20)
      • 22. Lee, H.R., Jen, C.W., Liu, C.M.: ‘New hardware-efficient architecture for programmable FIR filter’, IEEE Trans. Circuits Syst., 1996, 43, (9), pp. 637644.
    21. 21)
      • 20. Mohanty, B.K., Patel, S.: ‘Area–delay–power efficient carry-select adder’, IEEE Trans. Circuits Syst. II, Express Briefs, 2014, 61, (6), pp. 418422.
    22. 22)
      • 21. Bahadori, M., Kamal, M., Afzali-Kusha, A.: ‘High-speed and energy-efficient carry skip adder operating under a wide range of supply voltage levels’, IEEE Trans. Very Large Scale Integr. Syst., 2016, 24, (2), pp. 421433.
    23. 23)
      • 42. Jalaja, S., Prakash, V.A.M.: ‘Different retiming transformation technique to design optimized low power VLSI architecture’, AIMS Electron. Electr. Eng., 2018, 2, (4), pp. 117130.
    24. 24)
      • 2. Chandrakasan, A.P., Verma, N., Daly, D.C.: ‘Ultralow-power electronics for biomedical applications’, Annu. Rev. Biomed. Eng., 2008, 10, pp. 247274.
    25. 25)
      • 19. Kim, Y., Kim, L.S.: ‘64-bit carry-select adder with reduced area’, Electron. Lett., 2001, 37, (10), pp. 614615.
    26. 26)
      • 5. Meher, P.K., Park, S.Y.: ‘Area-delay-power efficient fixed-point LMS adaptive filter with low adaptation-delay’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2014, 22, (2), pp. 362371.
    27. 27)
      • 36. Ting, L., Woods, R., Cowan, C.F.N.: ‘Virtex FPGA implementation of a pipelined adaptive LMS predictor for electronic support measures receivers’, IEEE Trans. Very Large Scale Integr. Syst., 2005, 13, (1), pp. 8695.
    28. 28)
      • 3. Carlo, S., Tokunbo, O.: ‘FPGA implementation of LMS-based FIR adaptive filter for real time digital signal processing applications’. Proc. IEEE Int. Conf. Digital Signal Processing, Singapore, July 2015, pp. 2124.
    29. 29)
      • 11. Mathew, S.K.: ‘A 4 GHz 130 nm address generation unit with 32-bit sparse-tree adder core’. Proc. Symp. VLSI Circuits Digest Technical Papers, Honolulu, USA, June 2002, pp. 126127.
    30. 30)
      • 10. Han, T., Carlson, D.A.: ‘Fast area-efficient VLSI adders’. Proc. 8th IEEE Symp. Computer Arithmetic, Como, Italy, May 1987, pp. 4956.
    31. 31)
      • 13. Knowles, S.: ‘A family of adders’. Proc. 14th IEEE Symp. Computer Arithmetic, Adelaide, Australia, 1999, pp. 1416.
    32. 32)
      • 39. Mathias, F., Martin, K., Chip-Hong, C., et al: ‘Efficient structural adder pipelining in transposed form FIR filters’. Proc. IEEE Int. Conf. Digital Signal Processing (DSP), Singapore, July 2015, pp. 2124.
    33. 33)
      • 8. Lehman, M., Burla, N.: ‘Skip techniques for high-speed carry propagation in binary arithmetic units’, IRE Trans. Electron. Comput., 1961, 10, (4), pp. 691698.
    34. 34)
      • 31. Meher, P.K.: ‘On efficient retiming of fixed-point circuits’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2016, 4, (4), pp. 12571265.
    35. 35)
      • 34. Krishnamurthy, S., Kannan, R., Azwan, E., et al: ‘Design of FIR filter using novel pipelined bypass multiplier’. Proc. Third Int. Symp. Robotics and Manufacturing Automation, Universiti Putra Malaysia, Greater Kuala Lumpur Area, Malaysia, September 2017, pp. 1921.
    36. 36)
      • 27. Leiserson, C.E., Saxe, J.B.: ‘Retiming synchronous circuitry’, Algorithmica, 1991, 6, (1–6), pp. 535.
    37. 37)
      • 1. Bhotto, M.Z.A., Ahamad, M.O., Swamy, M.N.S.: ‘Robust shrinkage affine-projection sign adaptive-filtering algorithms for impulsive noise environments’, IEEE Trans. Signal Process., 2014, 62, (13), pp. 33493359.
    38. 38)
      • 18. Ramkumar, B., Kittur, H.M.: ‘Low-power and area-efficient carry-select adder’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2012, 20, (2), pp. 371375.
    39. 39)
      • 29. Jiang, J.R., Brayton, R.K.: ‘Retiming and resynthesis: a complexity perspective’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2006, 25, (12), pp. 26742686.
    40. 40)
      • 25. Samueli, H.: ‘An improved search algorithm for the design of multiplierless FIR filters with powers-of-two coefficients’, IEEE Trans. Circuits Syst., 1989, 36, (7), pp. 10441047.
    41. 41)
      • 17. Bedrij, O.J.: ‘Carry-select adder’, IRE Trans. Electron. Comput., 1962, EC-11, (3), pp. 340344.
    42. 42)
      • 41. Ardeshir, G., Tavakolaee, H., Baleghi, Y.: ‘Fast mux-based adder with low delay and low PDP’, J. AI Data Min., 2019, 7, (3), pp. 385392.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2019.0130
Loading

Related content

content/journals/10.1049/iet-cds.2019.0130
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading