access icon free Design and development of memristor-based RRAM

A power- and variability-aware non-volatile resistive random access memory (RRAM) cell is presented. Non-volatility is achieved due to the use of a memristor as a memory element, which when integrated with a carbon nanotube field-effect transistor (CNFET) helps achieve tremendous robustness against process variation. The half-select issue, inherent in the 2T2M RRAM cell (state-of-the-art design based on the memristor) have been resolved and its circuit parameters have been compared with those of the proposed cell. Also, the proposed cell has been compared with the standard 6T SRAM (S6T) cell. The proposed cell shows 1.6×/4.08× narrower read delay/write delay variability compared with 2T2M. 5CNFET2M also shows 1.8× narrower read delay variability than that of S6T. Furthermore, the proposed cell shows 6.9× shorter write delay in comparison with the 2T2M RRAM cell. 5CNFET2M consumes 106×/1.34× lower power during hold mode compared with the conventional 6T static random access memory/2T2M RRAM cell. Furthermore, the proposed cell also shows improvement in hold power variability compared with both the cells. All the simulated data, presented here are at the nominal supply voltage of 1 V. These improvements are gained at the expense of slightly longer read time compared with 2T2M/S6T and 31.3× longer write delay compared with S6T.

Inspec keywords: integrated circuit design; SRAM chips; power aware computing; field effect transistor circuits; resistive RAM; memristor circuits; carbon nanotube field effect transistors

Other keywords: variability-aware nonvolatile resistive random access memory cell; CNFET; 5CNFET2M; voltage 1.0 V; power-aware non-volatile resistive random access memory cell; standard 6T SRAM cell; read delay variability; process variation; memory element; memristor-based RRAM design; narrower read delay variability; hold power variability; conventional 6T static random access memory-2T2M RRAM cell; carbon nanotube field-effect transistor

Subjects: Memory circuits; Digital circuit design, modelling and testing; Semiconductor storage; Environmental aspects of computing; Semiconductor integrated circuit design, layout, modelling and testing; Electrical/electronic equipment (energy utilisation)

References

    1. 1)
      • 34. Agarwal, A., Mukhopadhyay, S., Raychowdhury, A., et al: ‘Leakage power analysis and reduction for nanoscale circuits’, IEEE Micro, 2006, 26, (2), pp. 6880.
    2. 2)
      • 23. Pal, S., Islam, A.: ‘Variation tolerant differential 8T SRAM cell for ultralow power applications’, IEEE Trans. Comput. Des. Integr. Circuits Syst., 2016, 35, (4), pp. 549558.
    3. 3)
      • 13. Kvatinsky, S., Friedman, E.G., Kolodny, A., et al: ‘TEAM: threshold adaptive memristor model’, IEEE Trans. Circuits Syst. I Regul. Pap., 2013, 60, (1), pp. 211221.
    4. 4)
      • 8. Muthuswamy, B.: ‘Implementing memristor based chaotic circuits’, Int. J. Bifurc. Chaos, 2010, 20, (5), pp. 13351350.
    5. 5)
      • 21. Ashraf, R., Nain, R.K., Chrzanowska-Jeske, M., et al: ‘Design methodology for carbon nanotube based circuits in the presence of metallic tubes’. Proc. 2010 IEEE/ACM Int. Symp. Nanoscale Archit. NANOARCH 2010, 2010, pp. 7176.
    6. 6)
      • 37. Ali, M., Ahmed, M., Chrzanowska-jeske, M.: ‘Logical effort model for CNFET-based circuits’. IEEE Int. Conf. Nanotechnology, Toronto, ON, Canada, 2015, pp. 12181221.
    7. 7)
      • 29. Nanoscale Integration and Modeling (NIMO) Group, Arizona State University (ASU). Available at http://ptm.asu.edu/.
    8. 8)
      • 17. Cho, G., Bin Kim, Y., Lombardi, F., et al: ‘Performance evaluation of CNFET-based logic gates’. 2009 IEEE Intrumentation and Measurement Technology Conf., I2MTC 2009, Singapore, Singapore, 2009, pp. 909912.
    9. 9)
      • 31. Choi, S., Sheridan, P., Lu, W.D.: ‘Data clustering using memristor networks’, Sci. Rep., 5, 2015, pp. 110.
    10. 10)
      • 19. Saito, R., Dresselhaus, G., Dresselhaus, M.S.: Physical properties of carbon nanotubes (Imperial College Press, London, 1998).
    11. 11)
      • 10. Chua, L., Kang, S.M.: ‘Memristive devices and systems’, Proc. IEEE, 1976, 64, (2), pp. 209223.
    12. 12)
      • 25. Pal, S., Islam, A.: ‘9-T SRAM cell for reliable ultralow-power applications and solving multibit soft-error issue’, IEEE Trans. Device Mater. Reliab., 2016, 16, (2), pp. 172182.
    13. 13)
      • 14. Pickett, M.D., Strukov, D.B., Borghetti, J.L., et al: ‘Switching dynamics in titanium dioxide memristive devices’, J. Appl. Phys., 2009, 106, (7), p. 074508.
    14. 14)
      • 18. McEuen, P.L., Fuhrer, M.S., Park, H.: ‘Single-walled carbon nanotube electronics’, IEEE Trans. Nanotechnol., 2002, 1, (1), pp. 7885.
    15. 15)
      • 20. Sun, Y., Kursun, V.: ‘Uniform carbon nanotube diameter and nanoarray pitch for VLSI of 16 nm P-channel MOSFETs’. 2011 IEEE/IFIP 19th Int. Conf. VLSI Syst. VLSI-SoC 2011, Hong Kong, China, 2011, pp. 226231.
    16. 16)
      • 6. Pal, S., Gupta, V., Islam, A.: ‘Variation resilient low-power memristor-based synchronous flip–flops: design and analysis’, Microsyst. Technol., 2018, pp. 114, doi: 10.1007/s00542-018-4044-6.
    17. 17)
      • 36. Chen, A., Lin, M.: ‘Variability of resistive switching memories and its impact on crossbar array performance’. 2011 Int. Reliability Physics Symp., Monterey, CA, USA, 2011, pp. 843846.
    18. 18)
      • 1. Chua, L.: ‘Memristor – the missing circuit element’, IEEE Trans. Circuit Theory, 1971, 18, (5), pp. 507519.
    19. 19)
      • 3. Islam, A., Hassan, M.: ‘Variability analysis of 6 and 7T SRAM cell in Sub-45Nm technology’, IIUM Eng. J., 2011, 12, (1), pp. 1330.
    20. 20)
      • 26. Stanford University, CNFET model website, 2008. Available at http://nano.stanford.edu./model.php.?Id=23.
    21. 21)
      • 16. Pershin, Y.V., Slipko, V.A., Di Ventra, M.: ‘Complex dynamics and scale invariance of one-dimensional memristive networks’, Phys. Rev., 2013, 87, p. 022116.
    22. 22)
      • 30. Alibart, F., Gao, L., Hoskins, B.D., et al: ‘High precision tuning of state for memristive devices by adaptable variation-tolerant algorithm’, Nanotechnology, 2012, 23, (7), p. 075201.
    23. 23)
      • 9. Roy, C., Islam, A.: ‘TG based 2T2M RRAM using memristor as memory element’, Indian J. Sci. Technol., 2016, 9, (33), pp. 711.
    24. 24)
      • 2. Sakode, V., Lombardi, F., Han, J.: ‘Cell design and comparative evaluation of a novel 1T memristor-based memory’, IEEE/ACM Int. Symp. Nanoscale Archit., Amsterdam, Netherlands, 2012, pp. 152159.
    25. 25)
      • 28. Deng, J., Wong, H.S.P.: ‘A compact SPICE model for carbon-nanotube field-effect transistors including nonidealities and its application − part II: Full device model and circuit performance benchmarking’, IEEE Trans. Electron Devices, 2007, 54, (12), pp. 31953205.
    26. 26)
      • 7. Wen, S., Zeng, Z., Huang, T., et al: ‘Fuzzy modeling and synchronization of different memristor-based chaotic circuits’, Phys. Lett. A, Gen. At. Solid State Phys., 2013, 377, (34–36), pp. 20162021.
    27. 27)
      • 22. Imran, A., Hasan, M., Islam, A., et al: ‘Optimized design of a 32-nm CNFET-based low-power ultrawideband CCII’, IEEE Trans. Nanotechnol., 2012, 11, (6), pp. 11001109.
    28. 28)
      • 15. Yakopcic, C., Taha, T.M., Subramanyam, G., et al: ‘A memristor device model’, IEEE Electron Device Lett.., 2011, 32, (10), pp. 14361438.
    29. 29)
      • 24. Pal, S., Islam, A.: ‘Low power and high variation tolerant 9T-SRAM cell at 16-nm technology node’, Indian J. Sci. Technol., 2016, 9, (40), pp. 17.
    30. 30)
      • 11. Di Ventra, M., Pershin, Y.V.: ‘On the physical properties of memristive, memcapacitive and meminductive systems’, Nanotechnology, 2013, 24, (25), p. 255201.
    31. 31)
      • 5. Zhang, Y., Wang, X., Friedman, E.G.: ‘Memristor-based circuit design for multilayer neural networks’, IEEE Trans. Circuits Syst. I Regul. Pap., 2018, 65, (2), pp. 677686.
    32. 32)
      • 4. Wang, L., Shen, Y., Yin, Q., et al: ‘Adaptive synchronization of fractional-order memristor-based neural networks with multiple time-varying delays’, IEEE Trans. Neural Netw. Learn. Syst., 2015, 26, (9), pp. 20332042.
    33. 33)
      • 12. Pershin, Y.V., La Fontaine, S., Di Ventra, M.: ‘Memristive model of amoeba learning’, Phys. Rev., 2009, 80, (021926), pp. 16.
    34. 34)
      • 27. Deng, J., Wong, H.-S.P.: ‘A compact SPICE model for carbon-nanotube field-effect transistors including nonidealities and Its application − part I: Model of the intrinsic channel region’, IEEE Trans. Electron Devices, 2007, 54, (12), pp. 31863194.
    35. 35)
      • 32. Filanovsky, I.M., Allam, A.: ‘Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits’, IEEE Trans. Circuits Syst. Fundam. Theory Appl., 2001, 48, (7), pp. 876884.
    36. 36)
      • 33. Sinha, S.K., Chaudhury, S.: ‘Advantage of CNTFET characteristics over MOSFET to reduce leakage power’. IEEE Int. Conf. Devices, Circuits Syst. ICCDCS, Combiatore, India, 2014, pp. 15.
    37. 37)
      • 35. Fallah, F., Pedram, M.: ‘Standby and active leakage current control and minimization in CMOS VLSI circuits’, IEICE Trans. Electron., 2005, E88-C, (4), pp. 509519.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2018.5388
Loading

Related content

content/journals/10.1049/iet-cds.2018.5388
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading