access icon free Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications

Higher variation resilience, lower power consumption, and higher reliability are the three principal design metrics for designing a static random-access memory (SRAM) cell. The most intuitive way to achieve lower power consumption is voltage scaling. However, voltage scaling at nanometre technology nodes leads to degradation in the robustness of the SRAM cell and decreased data stability. It is proved that conventional 6T SRAM fails to maintain its stability in scaled technology, particularly in the deep-subthreshold regime. Furthermore, SRAM cells utilising techniques such as read decoupling, for achieving reliable read operation, tend to increase leakage current resulting in higher hold power, which contributes a major portion to the total power consumption in modern internet of things devices. To cater to the requirements of higher robustness and lower hold power dissipation, a transmission gate-based 9T SRAM is proposed, which achieves these requirements at the cost of slightly higher read and write access time. The simulations are performed utilising a 16-nm complementary metal oxide semiconductor model.

Inspec keywords: Internet of Things; low-power electronics; CMOS memory circuits; SRAM chips; leakage currents

Other keywords: Internet of Things; size 16 nm; transmission gate-based 9T SRAM; voltage scaling; power consumption; nanometre technology nodes; deep-subthreshold regime; principal design metrics; SRAM cell; data stability; power dissipation; read decoupling; variation resilient low power; conventional 6T SRAM; complementary metal oxide semiconductor model; static random-access memory cell

Subjects: Semiconductor storage; Electrical/electronic equipment (energy utilisation); Memory circuits; Computer communications; Other computer networks; CMOS integrated circuits

References

    1. 1)
      • 42. Ahmad, S., Gupta, M.K., Alam, N., et al: ‘Low leakage single bitline 9T (SB9T) static random access memory’, Microelectron. J., 2017, 62, pp. 111.
    2. 2)
      • 48. Frank, D.J., Dennard, R.H., Nowak, E., et al: ‘Device scaling limits of Si MOSFETs and their application dependencies’, Proc. IEEE, 2001, 89, (3), pp. 259288.
    3. 3)
      • 37. Bhavnagarwala, A.J., Kosonocky, S., Radens, C., et al: ‘A sub-600-mV, fluctuation tolerant 65-nm CMOS SRAM array with dynamic cell biasing’, IEEE J. Solid-State Circuits, 2008, 43, (4), pp. 946955.
    4. 4)
      • 2. Patel, H.N., Yahya, F.B., Calhoun, B.H.: ‘Optimizing SRAM bitcell reliability and energy for IoT applications’. Proc. Int. Symp. on Quality Electronics Design (ISQED), 2016, pp. 1217.
    5. 5)
      • 16. Shibata, N., Kiya, H., Kurita, S., et al: ‘A 0.5-V 25-MHz 1-mW 256-Kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment—sure write operation by using step-down negatively overdriven bitline scheme’, IEEE J. Solid-State Circuits, 2006, 41, (3), pp. 728742.
    6. 6)
      • 39. Makino, H., Nakata, S., Suzuki, H., et al: ‘Utilising the normal distribution of the write noise margin to easily predict the SRAM write yield’, IET Circuits Devices Syst., 2012, 6, (4), p. 260.
    7. 7)
      • 1. Gordon Patrick, A.G.: ‘Memory plays a vital role in building the connected world’, Electron. Des., 2015, https://www.electronicdesign.com/iot/memory-plays-vital-role-building-connected-world.
    8. 8)
      • 18. Hirabayashi, O., Kawasumi, A., Suzuki, A., et al: ‘A process-variation-tolerant dual-power-supply SRAM with 0.179 µm2 cell in 40 nm CMOS using level-programmable wordline driver’. 2009 IEEE Int. Solid-State Circuits Conf. – Digest of Technical Papers, 2009, pp. 458459, 459a.
    9. 9)
      • 28. Rabaey, J.M., Chandrakasan, A., Nikolic, B.: ‘Digital integrated circuits: a design perspective’ (Prentice-Hall, New Delhi, India, 2005, 2nd edn.).
    10. 10)
      • 38. Wann, C., Wong, R., Frank, D.J., et al: ‘SRAM cell design for stability methodology’. IEEE VLSI-TSA Int. Symp. on VLSI Technology, 2005. (VLSI-TSA-Tech), pp. 2122.
    11. 11)
      • 41. Yang, Y., Park, J., Song, S.C., et al: ‘Single-ended 9T SRAM cell for near-threshold voltage operation with enhanced read performance in 22-nm FinFET technology’, IEEE Trans. Very Large Scale Integr. Syst., 2015, 23, (11), pp. 27482752.
    12. 12)
      • 12. Nomura, M., Ikenaga, Y., Takeda, K., et al: ‘Delay and power monitoring schemes for minimizing power consumption by means of supply and threshold voltage control in active and standby modes’, IEEE J. Solid-State Circuits, 2006, 41, (4), pp. 805814.
    13. 13)
      • 15. Islam, A., Hasan, M.: ‘Leakage characterization of 10T SRAM cell’, IEEE Trans. Electron Devices, 2012, 59, (3), pp. 631638.
    14. 14)
      • 25. Noguchi, H., Okumura, S., Iguchi, Y., et al: ‘Which is the best dual-port SRAM in 45-nm process technology? — 8T, 10T single end, and 10T differential —’. 2008 IEEE Int. Conf. on Integrated Circuit Design and Technology and Tutorial, 2008, pp. 5558.
    15. 15)
      • 10. Calhoun, B.H., Chandrakasan, A.P.: ‘Static noise margin variation for sub-threshold SRAM in 65-nm CMOS’, IEEE J. Solid-State Circuits, 2006, 41, (7), pp. 16731679.
    16. 16)
      • 24. Liu, Z., Kursun, V.: ‘Characterization of a novel nine-transistor SRAM cell’, IEEE Trans. Very Large Scale Integr. Syst., 2008, 16, (4), pp. 488492.
    17. 17)
      • 26. ‘Nanoscale Integration and Modeling (NIMO) Group, Arizona State University (ASU)’. Available at http://ptm.asu.edu/.
    18. 18)
      • 13. Chang, M.-F., Chang, S.-W., Chou, P.-W., et al: ‘A 130 mV SRAM with expanded write and read margins for subthreshold applications’, IEEE J. Solid-State Circuits, 2011, 46, (2), pp. 520529.
    19. 19)
      • 30. Pal, S., Islam, A.: ‘Variation tolerant differential 8T SRAM cell for ultralow power applications’, IEEE Trans. Comput. Des. Integr. Circuits Syst., 2016, 35, (4), pp. 549558.
    20. 20)
      • 43. Chang, M.-F., Wu, J.-J., Chen, K.-T., et al: ‘A differential data-aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications’, IEEE J. Solid-State Circuits, 2010, 45, (6), pp. 12341245.
    21. 21)
      • 22. Kushida, K., Suzuki, A., Fukano, G., et al: ‘A 0.7 V single-supply SRAM with 0.495 μm2 cell in 65 nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme’, IEEE J. Solid-State Circuits, 2009, 44, (4), pp. 11921198.
    22. 22)
      • 20. Tu, M.-H., Lin, J.-Y., Tsai, M.-C., et al: ‘Single-ended subthreshold SRAM with asymmetrical write/read-assist’, IEEE Trans. Circuits Syst. I, Regul. Pap., 2010, 57, (12), pp. 30393047.
    23. 23)
      • 44. Kulkarni, J.P., Kim, K., Roy, K.: ‘A 160 mV robust Schmitt trigger based subthreshold SRAM’, IEEE J. Solid-State Circuits, 2007, 42, (10), pp. 23032313.
    24. 24)
      • 3. Roy, K., Prasad, S.: ‘Low-power CMOS VLSI circuit design’ (Wiley, 2000).
    25. 25)
      • 32. Pal, S., Gupta, V., Islam, A.: ‘Variation resilient low-power memristor-based synchronous flip-flops: design and analysis’, Microsyst. Technol., 2018, pp. 114, DOI: 10.1007/s00542-018-4044-6.
    26. 26)
      • 19. Lai, F.-S., Lee, C.-F.: ‘On-chip voltage down converter to improve SRAM read/write margin and static power for sub-nano CMOS technology’, IEEE J. Solid-State Circuits, 2007, 42, (9), pp. 20612070.
    27. 27)
      • 31. Pal, S., Islam, A.: ‘9-T SRAM cell for reliable ultralow-power applications and solving multibit soft-error issue’, IEEE Trans. Device Mater. Reliab., 2016, 16, (2), pp. 172182.
    28. 28)
      • 23. Aly, R.E., Bayoumi, M.A.: ‘Low-power cache design using 7T SRAM cell’, IEEE Trans. Circuits Syst. II, Express Briefs, 2007, 54, (4), pp. 318322.
    29. 29)
      • 36. Seevinck, E., List, F.J., Lohstroh, J.: ‘Static-noise margin analysis of MOS SRAM cells’, IEEE J. Solid-State Circuits, 1987, 22, (5), pp. 748754.
    30. 30)
      • 7. Calhoun, B.H., Chandrakasan, A.P.: ‘A 256-kb 65-nm Sub-threshold SRAM design for ultra-low-voltage operation’, IEEE J. Solid-State Circuits, 2007, 42, (3), pp. 680688.
    31. 31)
      • 6. Tu, M.-H., Lin, J.-Y., Tsai, M.-C., et al: ‘A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing’, IEEE J. Solid-State Circuits, 2012, 47, (6), pp. 14691482.
    32. 32)
      • 14. Lin, S., Kim, Y.-B., Lombardi, F.: ‘A highly-stable nanometer memory for low-power design’. 2008 IEEE Int. Workshop on Design and Test of Nano Devices, Circuits, and Systems, 2008, pp. 1720.
    33. 33)
      • 11. Nose, K., Sakurai, T.: ‘Optimization of VDD and VTH for low-power and high speed applications’. Asia South Pacific Design Automation Conf., 2000, pp. 469474.
    34. 34)
      • 21. Ohbayashi, S., Yabuuchi, M., Nii, K., et al: ‘A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits’, IEEE J. Solid-State Circuits, 2007, 42, (4), pp. 820829.
    35. 35)
      • 47. Ahmad, S., Gupta, M.K., Alam, N., et al: ‘Single-ended Schmitt-trigger-based robust low-power SRAM cell’, IEEE Trans. Very Large Scale Integr. Syst., 2016, 24, (8), pp. 26342642.
    36. 36)
      • 8. Chang, I.J., Kim, J.-J., Park, S.P., et al: ‘A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS’, IEEE J. Solid-State Circuits, 2009, 44, (2), pp. 650658.
    37. 37)
      • 5. Kushwah, C.B., Vishvakarma, S.K.: ‘A single-ended with dynamic feedback control 8T subthreshold SRAM cell’, IEEE Trans. Very Large Scale Integr. Syst., 2016, 24, (1), pp. 373377.
    38. 38)
      • 40. Chang, M.H., Te Chiu, Y., Hwang, W.: ‘Design and iso-area Vmin analysis of 9T subthreshold SRAM with bit-interleaving scheme in 65-nm CMOS’, IEEE Trans. Circuits Syst. II, Express Briefs, 2012, 59, (7), pp. 429433.
    39. 39)
      • 27. Anh-Tuan, D., Low, J.Y.S., Low, J.Y.L., et al: ‘An 8T differential SRAM with improved noise margin for bit-interleaving in 65 nm CMOS’, IEEE Trans. Circuits Syst. I, Regul. Pap., 2011, 58, (6), pp. 12521263.
    40. 40)
      • 34. Saxena, S., Mehra, R.: ‘Low-power and high-speed 13T SRAM cell using FinFETs’, IET Circuits Devices Syst., 2017, 11, (3), pp. 250255.
    41. 41)
      • 45. Narasimhan, S., Du, D., Chakraborty, R.S., et al: ‘Hardware Trojan detection by multiple-parameter side-channel analysis’, IEEE Trans. Comput., 2013, 62, (11), pp. 21832195.
    42. 42)
      • 33. Lien, N.-C., Chu, L.-W., Chen, C.-H., et al: ‘A 40 nm 512 kb cross-point 8T pipeline SRAM with binary word-line boosting control, ripple bit-line and adaptive data-aware write-assist’, IEEE Trans. Circuits Syst. I, Regul. Pap., 2014, 61, (12), pp. 34163425.
    43. 43)
      • 29. Taur, Y., Ning, T.H.: ‘Fundamentals of modern VLSI devices’ (Cambridge University Press, 2009).
    44. 44)
      • 4. Goel, A., Sharma, R.K., Gupta, A.K.: ‘Process variations aware area efficient negative bit-line voltage scheme for improving write ability of SRAM in nanometer technologies’, IET Circuits Devices Syst., 2012, 6, (1), p. 45.
    45. 45)
      • 46. Jiao, H., Qiu, Y., Kursun, V.: ‘Low power and robust memory circuits with asymmetrical ground gating’, Microelectron. J., 2016, 48, pp. 109119.
    46. 46)
      • 50. Raj, B., Saxena, A.K., Dasgupta, S.: ‘Nanoscale FinFET based SRAM cell design: analysis of performance metric, process variation, underlapped FinFET, and temperature effect’, IEEE Circuits Syst. Mag., 2011, 11, (3), pp. 3850.
    47. 47)
      • 49. Hisamoto, D., Lee, W.-C., Kedzierski, J., et al: ‘FinFET – a self-aligned double-gate MOSFET scalable to 20 nm’, IEEE Trans. Electron Devices, 2000, 47, (12), pp. 23202325.
    48. 48)
      • 35. Yang, B.-D., Kim, L.-S.: ‘A low-power SRAM using hierarchical bit line and local sense amplifiers’, IEEE J. Solid-State Circuits, 2005, 40, (6), pp. 13661376.
    49. 49)
      • 9. Lo, C.-H., Huang, S.-Y.: ‘P-P-N based 10T SRAM cell for low-leakage and resilient subthreshold operation’, IEEE J. Solid-State Circuits, 2011, 46, (3), pp. 695704.
    50. 50)
      • 17. Suzuki, T., Yamauchi, H., Yamagami, Y., et al: ‘A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses’, IEEE J. Solid-State Circuits, 2008, 43, (9), pp. 21092119.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2018.5283
Loading

Related content

content/journals/10.1049/iet-cds.2018.5283
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading