access icon free Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs

In static random access memory (SRAM), some cells are not selected for writing, but due to the distribution of the word line signals in the SRAM array, their word line signal is activated. Therefore, they may be mistakenly written. Such cells are called half-selected cells. This study presents two schemes, one for single-ended and the other for differential sensing SRAMs, to eliminate the half-selection disturbance. In the first proposed scheme, the content of the desired row of the SRAM array is read before the write operation and is written back on the corresponding write bitlines. This operation results in eliminating the possibility for noise to be written onto the half-selected cells. In the second scheme, a simple read operation is performed before the write operation. The authors applied their half-selection resilient schemes to 8 and 6 T SRAMs. Simulation results show that in the presence of radioactive particles, by applying their write-back scheme to 8 T SRAM and their read-before-write scheme to the conventional 6 T SRAM, the failure rate is reduced from an average of 56 and 20%, respectively, to 0. The proposed schemes do not degrade write-ability of the SRAM cells, and are bit-addressable. Moreover, their proposed schemes consume smaller amounts of power compared with their rivals.

Inspec keywords: SRAM chips; sensor arrays

Other keywords: word line signal distribution; half-selected cell; half-selection resilient scheme; SRAM array; read-before-write scheme; internal write-back scheme; differential sensing; static random access memory; half-selection disturbance elimination; radioactive particle

Subjects: Semiconductor storage; Sensing devices and transducers; Memory circuits

References

    1. 1)
      • 11. Ahmad, S., Gupta, M. K., Alam, N., et al: ‘Low leakage single bitline 9 t (sb9t) static random access memory’, Microelectron. J., 2017, 62, pp. 111.
    2. 2)
      • 8. Yahya, F.B., Patel, H.N., Chandra, V., et al: ‘Combined sram read/write assist techniques for near/sub-threshold voltage operation’. 6th Asia Symp. on Quality Electronic Design (ASQED), 2015, pp. 16.
    3. 3)
      • 4. Mitra, S., Sanda, P., Seifert, N.: ‘Soft errors: technology trends, system effects, and protection techniques’. 13th IEEE Int. On-Line Testing Symp. (IOLTS 2007), 2007, pp. 44.
    4. 4)
      • 26. Chang, L., Nakamura, Y., Montoye, R. K., et al: ‘A 5.3 GHZ 8T-SRAM with operation down to 0.41v in 65 nm cmos’. IEEE Symp. on VLSI Circuits, 2007, pp. 252253.
    5. 5)
      • 21. Honda, K., Miyaji, K., Tanakamaru, S., et al: ‘Elimination of half select disturb in 8T-SRAM by local injected electron asymmetric pass gate transistor’. IEEE Custom Integrated Circuits Conf. (CICC), 2010, pp. 14.
    6. 6)
      • 24. Kim, T.-H., Liu, J., Keane, J., et al: ‘A 0.2 v, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing’, IEEE J. Solid-State Circuits, 2008, 43, (2), pp. 518529.
    7. 7)
      • 17. Aly, R.E., Bayoumi, M., et al: ‘Low-power cache design using 7 T SRAM cell’, IEEE Trans. Circuits Syst. II, Express Briefs, 2007, 54, (4), pp. 318322.
    8. 8)
      • 20. Miyaji, K., Tanakamaru, S., Honda, K., et al: ‘70% read margin enhancement by VTH mismatch self-repair in 6T-SRAM with asymmetric pass gate transistor by zero additional cost, post-process, local electron injection’. Symp. on VLSI Circuits, 2010, pp. 4142.
    9. 9)
      • 33. A. S. University.: ‘Predictive technology model (ptm)’, 2013, available at: http://ptm.asu.edu/.
    10. 10)
      • 9. Asada, Y.: ‘Low-power technology for image-processing LSIs’, FUJITSU Sci. Tech. J., 2013, 49, (1), pp. 117123.
    11. 11)
      • 12. Kushwah, C., Vishvakarma, S., Dwivedi, D.: ‘A 20 nm robust single-ended boostless 7t finfet sub-threshold {SRAM} cell under processâĂŞvoltageâĂŞtemperature variations’, Microelectron. J., 2016, 51, pp. 7588.
    12. 12)
      • 31. Naseer, R., Boulghassoul, Y., Draper, J., DasGupta, S., Witulski, A.: ‘Critical charge characterization for soft error rate modeling in 90nm sram’. 2007 IEEE Int. Symp. on Circuits and Systems, 2007, pp. 18791882.
    13. 13)
      • 19. Kim, Y.B., Kim, Y.-B., Lombardi, F., et al: ‘A low power 8 T SRAM cell design technique for CNFET’. Int. SoC Design Conf. (ISOCC), 2008, Vol. 01, pp. I-176I-179.
    14. 14)
      • 3. Leray, J.: ‘Effects of atmospheric neutrons on devices, at sea level and in avionics embedded systems’, Microelectron. Reliab., 2007, 47, (9), pp. 18271835.
    15. 15)
      • 28. Mittal, S., Vetter, J.S., Li, D.: ‘A survey of architectural approaches for managing embedded dram and non-volatile on-chip caches’, IEEE Trans. Parallel Distrib. Syst., 2015, 26, (6), pp. 15241537.
    16. 16)
      • 29. Clark, L.T., Hoffman, E.J., Miller, J., et al: ‘An embedded 32-b microprocessor core for low-power and high-performance applications’, IEEE J. Solid-State Circuits, 2001, 36, (11), pp. 15991608.
    17. 17)
      • 25. Kanda, K., Sadaaki, H., Sakurai, T.: ‘90% write power-saving SRAM using sense-amplifying memory cell’, IEEE J. Solid-State Circuits, 2004, 39, (6), pp. 927933.
    18. 18)
      • 22. Terada, M., Yoshimoto, S., Okumura, S., et al: ‘A 40-nm 256-kb 0.6-v operation half-select resilient 8 T SRAM with sequential writing technique enabling 367-mv VDDmin reduction’. 13th Int. Symp. on Quality Electronic Design (ISQED), 2012, pp. 489492.
    19. 19)
      • 30. Hennessy, J.L., Patterson, D.A.: ‘Computer architecture: a quantitative approach’ (Elsevier, 2011).
    20. 20)
      • 1. Autran, J.-L., Munteanu, D., Gasiot, G., et al: ‘Soft-error rate of advanced SRAM memories: modeling and Monte Carlo simulation’ (INTECH Open Access Publisher, 2012).
    21. 21)
      • 14. Imani, M., Jafari, M., Ebrahimi, B., et al: ‘Ultra-low power finfet based sram cell employing sharing current concept’, Microelectron. Reliab., 2015, 10.
    22. 22)
      • 7. Yahya, F.B., Patel, H.N., Boley, J., et al: ‘A sub-threshold 8t sram macro with 12.29 nw/kb standby power and 6.24 pj/access for battery-less iot socs’, J. Low Power Electron. Appl., 2016, 6, (2), p. 8.
    23. 23)
      • 32. Weste, N.H., Harris, D.M.: ‘CMOS VLSI design: a circuits and systems perspective’ (Addison-Wesley/Pearson, Upper Saddle River, New Jersey, USA, 2011).
    24. 24)
      • 10. Ebrahimi, B., Rostami, M., Afzali-Kusha, A., et al: ‘Statistical design optimization of finfet sram using back-gate voltage’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2011, 19, (10), pp. 19111916.
    25. 25)
      • 23. Yoshimoto, M., Anami, K., Shinohara, H., et al: ‘A divided word-line structure in the static RAM and its application to a 64k full CMOS RAM’, IEEE J. Solid-State Circuits, 1983, 18, (5), pp. 479485.
    26. 26)
      • 6. Shafaei, A., Pedram, M.: ‘Energy-efficient cache memories using a dual-vt 4t sram cell with read-assist techniques’. 2016 Design, Automation & Test in Europe Conf. & Exhibition (DATE), 2016, pp. 457462.
    27. 27)
      • 13. Pasandi, G., Qasemi, E., Fakhraie, S.M.: ‘A new low-leakage t-gate based 8 T SRAM cell with improved write-ability in 90 nm CMOS technology’. 22nd Iranian Conf. Electrical Engineering (ICEE), Tehran, Iran, May 2014.
    28. 28)
      • 16. Zhang, Z., Liu, Y., Nyathi, J., et al: ‘Performance of CNFET SRAM cells under diameter variation corners’. 52nd IEEE Int. Midwest Symp. on Circuits and Systems (MWSCAS), 2009, pp. 547550.
    29. 29)
      • 18. Wen, L., Li, Z., Li, Y.: ‘Single-ended, robust 8 T SRAM cell for low-voltage operation’, Microelectron. J., 2013, 44, (8), pp. 718728.
    30. 30)
      • 2. Schrimpf, R.D., Fleetwood, D.M.: ‘Radiation effects and soft errors in integrated circuits and electronic devices’ (World Scientific, 2004), 34.
    31. 31)
      • 34. Jin, W., He, W., Jiang, J., Huang, H., Zhao, X., Sun, Y., Chen, X., Jing, N.: ‘A 0.33 v 2.5 _w cross-point data-aware write structure, read-half-select disturb-free subthreshold sram in 130nm cmos’, Integr. VLSI J., 2017, 58, pp. 2734.
    32. 32)
      • 15. Pasandi, G., Fakhraie, S.M.: ‘An 8 T low-voltage and low-leakage half-selection disturb-free SRAM using bulk-CMOS and FinFETs’, IEEE Trans. Electron Devices, 2014, 61, (7), pp. 23572363.
    33. 33)
      • 5. Azarpeyvand, A., Salehi, M.E., Firouzi, F., et al: ‘Instruction reliability analysis for embedded processors’. IEEE 13th Int. Symp. on Design and Diagnostics of Electronic Circuits and Systems (DDECS), 2010, pp. 2023.
    34. 34)
      • 27. Joo, Y., Niu, D., Dong, X., et al: ‘Energy-and endurance-aware design of phase change memory caches’. Proc. of the Conf. Design, Automation and Test in Europe, (European Design and Automation Association), 2010, pp. 136141.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2017.0227
Loading

Related content

content/journals/10.1049/iet-cds.2017.0227
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading