Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Design of BiCMOS SRAMs for high-speed SiGe applications

This study documents the speeds of various SRAM buffer memories that are possible in a contemporary fast SiGe heterojunction bipolar transistor (HBT) BiCMOS process. An SRAM in a 0.13 µm HBT BiCMOS technology using current mode logic (CML)-style circuits serves as a basis for the discussion. This basic SRAM design features a CML decoder, CML word line driver, bipolar sense amplifier for achieving high speed and CMOS 6T memory cells for high density. The BiCMOS technology is especially useful for realising ultra-high-speed SRAMs for low level cache memory in high-clock rate computer systems, but when reorganised can also be utilised in analogue-to-digital converter (ADC) systems to store digitalised data. Speed and power tradeoffs can be made using different bias strategies, CML logic levels and different generations of SiGe HBTs. A demonstrated 128 kb SRAM macro consumes 2.7 W at 4 GHz using a −3.4 and −1.5 V supply voltage for the bipolar and CMOS circuits, respectively, and has dimensions of 3.5 mm × 3.6 mm by using IBM 8HP SiGe technology, which provides an HBT with a f T of 210 GHz. This macro can be integrated into large scale, ultra-wide bus SRAMs using heterogeneous silicon and 3D technology. Simulation indicates that with the next generation of SiGe HBTs, this SRAM macro can operate at 5 GHz, while consuming the same amount of power or alternatively consume 0.73 W, which is 73% less power consumption compared to 8HP, while operating with the same frequency of 4 GHz. Reorganising the memory for a 4 way-interleaved ADC, it can accept data written at 9.5 GS/s for 8HP designs, and 11.9 GS/s for 8XP designs.

References

    1. 1)
      • 30. Rodwell, M.: ‘High-speed integrated circuit technology: towards 100 GHz logic’ (World Scientific Pub Co Inc., 2001).
    2. 2)
      • 16. McDonald, J.F., Jacob, P., Gutin, A., Zia, A., Liu, X., Kraft, R.: ‘Serial code accelerators for heterogeneous multi-cores employing SiGe HBT BiCMOS and 3D memory for memory wall mitigation’. Int. Conf. on Supercomputing, Workshop on Hardware Accelerators, IBM T.J Watson Research Center, June 2009, pp. 110120.
    3. 3)
    4. 4)
      • 34. Cai, J., Ning, T.H., D'Emic, C., et al: ‘Complementary thin-base symmetric lateral bipolar transistors on SOI’. 2011 IEEE Int. Electron Devices Meeting (IEDM), December 2011, pp. 386389.
    5. 5)
    6. 6)
      • 39. Suzuki, A., Kobayashi, T., Hamano, T., et al: ‘A 400 MHz 4.5 Mb synchronous BiCMOS SRAM with alternating bit-line loads’. 1996 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 1996, pp. 146147.
    7. 7)
    8. 8)
    9. 9)
    10. 10)
      • 21. Tamba, N., Akimoto, K., Ohhayashi, M., et al: ‘A 1.5 ns 256 kb BiCMOS SRAM with 11 k 60 ps logic gates’. 1993 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 1993, pp. 246247.
    11. 11)
      • 26. Rabaey, J.M., Chandrakasan, A., Nikolic, B.: ‘Digital integrated circuits, a design perspective’ (Prentice-Hall, 2003, 2nd edn.).
    12. 12)
    13. 13)
    14. 14)
    15. 15)
    16. 16)
      • 1. Karl, E., Wang, Y., Ng, Y.-G., et al: ‘A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry’. 2012 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 2012, pp. 230232.
    17. 17)
      • 12. Wu, S.-Y., Liaw, J.J., Lin, C.Y., et al: ‘A highly manufacturable 28 nm CMOS low power platform technology with fully functional 64 Mb SRAM using dual/tripe gate oxide process’. 2009 Symp. on VLSI Circuits Digest of Technical Papers, June 2009, pp. 210211.
    18. 18)
    19. 19)
    20. 20)
    21. 21)
      • 6. Pilo, H., Arsovski, I., Batson, K., et al: ‘A 64 Mb SRAM in 32 nm High-k metal-gate SOI technology with 0.7 V operation enabled by stability, write-ability and read-ability enhancements’. 2011 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 2011, pp. 254256.
    22. 22)
      • 22. Nambu, H., Kanetani, K., Idei, Y., et al: ‘A 0.65 ns, 72 kb ECL-CMOS Ram Macro for A 1 Mb SRAM’. 1994 Symp. on VLSI Circuits Digest of Technical Papers, June 1994, pp. 109110.
    23. 23)
      • 13. Bidal, G., Boeuf, F., Denorme, S., et al: ‘High velocity Si-nanodot: a candidate for SRAM applications at 16 nm node and below’. 2009 Symp. on VLSI Circuits Digest of Technical Papers, June 2009, pp. 240241.
    24. 24)
      • 5. Zhang, K., Bhattacharya, U., Ma, L., et al: ‘A fully synchronized, pipelined, and re-configurable 50 Mb SRAM on 90 nm CMOS technology for logic applications’. 2003 Symp. on VLSI Circuits Digest of Technical Papers , June 2003, pp. 253254.
    25. 25)
    26. 26)
      • 38. Tyagi, S., Alavi, M., Bigwood, R., et al: ‘A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects’. 2000 IEEE Int. Electron Devices Meeting (IEDM), December 2000, pp. 567570.
    27. 27)
      • 3. Hamzaoglu, F., Zhang, K., Wang, Y., et al: ‘A 153Mb-SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal-gate CMOS technology’. 2008 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 2008, pp. 376377.
    28. 28)
    29. 29)
      • 8. Sinangil, M.E., Mair, H., Chandrakasan, A.P.: ‘A 28 nm high-density 6 T SRAM with optimized peripheral-assist circuits for operation down to 0.6 V’. 2011 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 2011, pp. 260262.
    30. 30)
      • 32. Cressler, E.J.: ‘Silicon heterostructure handbook’ (CRC, Boca Raton, FL, 2006).
    31. 31)
    32. 32)
    33. 33)
      • 7. Ishii, Y., Tsukamoto, Y., Nii, K., et al: ‘A 28 nm 360 ps-access-time two-port SRAM with a time-sharing scheme to circumvent read disturbs’. 2012 IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), February 2012, pp. 236238.
    34. 34)
    35. 35)
      • 18. Nambu, H., Kanetani, K., Idei, Y., et al: ‘A 1.5 ns, 64 kb ECL-CMOS SRAM’. 1991 Symp. on VLSI Circuits Digest of Technical Papers, 1991, pp. 1112.
    36. 36)
    37. 37)
      • 28. Nah, K., Philhower, R., Van Etten, J.S., et al: ‘F-RISC/G: AlGaAs/GaAs HBT standard cell library’. 1991 IEEE Int. Conf. on Computer Design: VLSI in Computers and Processors Proc., October 1991, pp. 297300.
    38. 38)
    39. 39)
      • 36. Pilo, H., Ramadurai, V., Braceras, G., et al: ‘A 450 ps access-time SRAM macro in 45 nm SOI featuring a two-stage sensing-scheme and dynamic power management’. 2008 IEEE Int. Solid-State Circuits Conference Digest of Technical Papers (ISSCC), February 2008, pp. 378379.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2013.0375
Loading

Related content

content/journals/10.1049/iet-cds.2013.0375
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address