access icon free Dynamic voltage frequency scaling-aware refresh management for 3D DRAM over processor architecture

Three-dimensional integrated systems that combine large-capacity dynamic random access memory (DRAM) with high-performance processors represent a promising solution to implementing high-performance computing. However, in such configurations stacked DRAM cells will inevitably be exposed to high temperatures generated by the processor, thereby necessitating DRAMs with high refresh rates driven by embedded temperature sensors. In this Letter, a thermally aware refresh-control method that accounts for abrupt changes in temperature and thermal distribution using low-power techniques such as dynamic voltage frequency scaling is proposed. Comparisons with previous systems via single- and eight-core simulations reveal that the proposed method improves efficiency with no additional overhead.

Inspec keywords: DRAM chips; low-power electronics; temperature distribution; temperature sensors

Other keywords: high-performance processors; thermally aware refresh-control method; embedded temperature sensors; thermal distribution; refresh rates; processor architecture; 3D DRAM; dynamic voltage frequency scaling-aware refresh management; dynamic random access memory; three-dimensional integrated systems; low-power techniques

Subjects: Memory circuits; Semiconductor storage

References

    1. 1)
      • 2. Nomura, T., Mori, R., Takayanagi, K., et al: ‘Design challenges in 3-D SoC stacked with a 12.8 GB/s TSV wide I/O DRAM’, JETCAS, 2016, 6, (3), pp. 364372, doi: 10.1109/JETCAS.2016.2547719.
    2. 2)
      • 6. Skadron, K., Stan, M.R., Huang, W., et al: ‘Temperature-aware microarchitecture’. ISCA, San Diego, USA, June 2003, pp. 213, doi: 10.1109/ISCA.2003.1206984.
    3. 3)
    4. 4)
      • 9. Jain, A., Anderson, W., Benninghoff, T., et al: ‘A 1.2 GHz alpha microprocessor with 44.8 GB/s chip pin bandwidth’. ISSCC, Philadelphia, USA, February 2001, pp. 240241, doi: 10.1109/ISSCC.2001.912621.
    5. 5)
    6. 6)
      • 3. Hassan, S.M., Song, W.J., Mukhopadhyay, S., et al: ‘Reliability-performance tradeoffs between 2.5D and 3D-stacked DRAM processors’. IEEE IRPS, Pasadena, USA, April 2016, pp. MY-2-1MY-2-5, doi: 10.1109/IRPS.2016.7574618.
    7. 7)
      • 7. Li, S., Ahn, J.H., Strong, R.D., et al: ‘McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures’. MICRO, New York, USA, December 2009, pp. 469480.
    8. 8)
      • 8. Nawathe, U., Hassan, M., Yen, K.C., et al: ‘Implementation of an 8-core, 64-thread, power-efficient SPARC server on a chip’, JSSC, 2008, 43, (1), pp. 620, doi: 10.1109/JSSC.2007.910967.
    9. 9)
      • 5. Kolpe, T., Zhai, A., Sachin, S.: ‘Enabling improved power management in multicore processors through clustered DVFS’. DATE, Grenoble, France, March 2011, pp. 16, doi: 10.1109/DATE.2011.5763052.
    10. 10)
      • 10. Micron: ‘4Gb: x16, x32 mobile LPDDR2 SDRAM S4 features’, www.micron.com/products/datasheets, accessed March 2017.
http://iet.metastore.ingenta.com/content/journals/10.1049/el.2017.1243
Loading

Related content

content/journals/10.1049/el.2017.1243
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading