Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon openaccess Impact of body biasing on the retention time of gain-cell memories

Gain-cell-based embedded dynamic random-access memory (DRAMs) are a potential high-density alternative to mainstream static random-access memory (SRAM). However, the limited data retention time of these dynamic bitcells results in the need for power-consuming periodic refresh cycles. This Letter measures the impact of body biasing as a control factor to improve the retention time of a 2 kb memory block, and also examines the distribution of the retention time across the entire gain-cell array. The concept is demonstrated through silicon measurements of a test chip manufactured in a logic-compatible 0.18 μm CMOS process. Although there is a large retention time spread across the measured 2 kb gain-cell array, the minimum, average and maximum retention times are all improved by up to two orders of magnitude when sweeping the body voltage over a range of 375 mV.

References

    1. 1)
      • 5. Lee, Y., Chen, M.T., Park, J., et al: ‘A 5.4nW/kB retention power logic-compatible embedded DRAM with 2 T dual-Vt gain cell for low power sensing applications’. Proc. IEEE A-SSCC, 2010.
    2. 2)
      • 4. Meinerzhagen, P., Teman, A., Giterman, R., et al: ‘Exploration of sub-VT and near-VT 2 T gain-cell memories for ultra-low power applications under technology scaling’. JLPEA, 2013.
    3. 3)
      • 8. Chun, K.C., Zhang, W., Jain, P., et al: ‘A 2T1C embedded DRAM macro with no boosted supplies featuring a 7 T SRAM based repair and a cell storage monitor’. IEEE JSSC, 2012.
    4. 4)
      • 1. Chun, K.C., Jain, P., Kim, T.H., et al: ‘A 667 MHz logic-compatible embedded DRAM featuring an asymmetric 2 T gain cell for high speed on-die caches’. IEEE JSSC, 2012.
    5. 5)
      • 2. Teman, A., Meinerzhagen, P., Burg, A., et al: ‘Review and classification of gain cell eDRAM implementations’. Proc. IEEE IEEEI, 2012.
    6. 6)
      • 6. Iqbal, R., Meinerzhagen, P., Burg, A., et al: ‘Two-port low-power gain-cell storage array: voltage scaling and retention time’. Proc. IEEE ISCAS, 2012.
    7. 7)
      • 3. Meinerzhagen, P., Teman, A., Mordakhay, A., et al: ‘A sub-VT 2 T gain-cell memory for biomedical applications’. Proc. IEEE SubVt, 2012.
    8. 8)
      • 7. Teman, A., Yadid-Pecht, O., Fish, A., et al: ‘Leakage reduction in advanced image sensors using an improved AB2C scheme’, IEEE Sens. J., 2012.
http://iet.metastore.ingenta.com/content/journals/10.1049/joe.2013.0057
Loading

Related content

content/journals/10.1049/joe.2013.0057
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address