Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Reconfigurable computing: architectures and design methods

Reconfigurable computing: architectures and design methods

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IEE Proceedings - Computers and Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Reconfigurable computing is becoming increasingly attractive for many applications. This survey covers two aspects of reconfigurable computing: architectures and design methods. The paper includes recent advances in reconfigurable architectures, such as the Alters Stratix II and Xilinx Virtex 4 FPGA devices. The authors identify major trends in general-purpose and special-purpose design methods. It is shown that reconfigurable computing designs are capable of achieving up to 500 times speedup and 70% energy savings over microprocessor implementations for specific applications.

References

    1. 1)
      • G.A. Constantinides , P.Y.K. Cheung , W. Luk . Synthesis of saturation arithmetic architectures. ACM Trans. Des. Autom. Electron. Syst. , 3 , 334 - 354
    2. 2)
      • Actel Corp., ProASIC Plus Family Flash FPGAs, v3.5, April 2004.
    3. 3)
      • Leong, P., Leong, M., Cheung, O., Tung, T., Kwok, C., Wong, M., Lee, K.: `Pilchard – a reconfigurable computing platform with memory slot interface', Proc. Symp. on Field-Programmable Custom Computing Machines, 2001, IEEE Computer Society Press.
    4. 4)
      • A. Gayasen , K. Lee , N. Vijaykrishnan , M. Kandemir , M.J. Irwin , T. Tuan . A dual-VDD low power FPGA architecture. Lect. Notes Comput. Sci.
    5. 5)
      • Todman, T., Coutinho, J.G.F., and Luk, W.: Customisable hardware compilation. Proc. Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (CSREA Press, 2004).
    6. 6)
      • Schaumont, P., Verbauwhede, I., Keutzer, K., Sarrafzadeh, M.: `A quick safari through the reconfiguration jungle', Proc. Design Automation Conf., 2001, ACM Press.
    7. 7)
      • Duncan, A., Hendry, D., and Gray, P.: An overview of the COBRA-ABS high-level synthesis system for multi-FPGA systems. Proc. IEEE Symposium on FPGAs for Custom Computing Machines (IEEE Computer Society Press, 1998).
    8. 8)
      • Stephenson, M., Babb, J., Amarasinghe, S.: `Bitwidth analysis with application to silicon compilation', Proc. SIGPLAN Programming Language Design and Implementation, June 2000.
    9. 9)
      • Silicon Hive: Avispa Block Accelerator. Product Brief, 2003.
    10. 10)
      • Simulink, http://www.mathworks.com.
    11. 11)
      • T. Harriss , R. Walke , B. Kienhuis , E. Deprettere . Compilation from Matlab to process networks realized in FPGA. Des. Autom. Embedded Syst. , 4 , 385 - 403
    12. 12)
      • T. Callahan , J. Wawrzynek . Instruction-level parallelism for reconfigurable computing. Lect. Notes Comput. Sci.
    13. 13)
      • G. Lemieux , D. Lewis . (2004) Design of interconnect networks for programmable logic.
    14. 14)
      • Ziegler, H., So, B., Hall, M., and Diniz, P.: Coarse-grain pipelining on multiple-FPGA architectures, IEEE Symp. on Field-Programmable Custom Computing Machines, 2002, pp. 77–88.
    15. 15)
      • Cmar, R., Rijnders, L., Schaumont, P., Vernalde, S., Bolsens, I.: `A methodology and design environment for DSP ASIC fixed point refinement', Proc. Design Automation and Test in Europe, 1999.
    16. 16)
      • N. Shirazi , W. Luk , P.Y.K. Cheung . Framework and tools for run-time reconfigurable designs. IEE Proc., Comput. Digit. Tech. , 147 - 152
    17. 17)
      • DeHon, A., Wilson, M.J.: `Nanowire-based sublithographic programmable logic arrays', Proc. Int. Symp. on FPGAs, 2004, ACM Press.
    18. 18)
      • A. Royal , P.Y.K. Cheung . Globally asynchronous locally synchronous FPGA architectures. Lect. Notes Comput. Sci.
    19. 19)
      • Altera Corp., Nios II Processor Reference Handbook, May 2004.
    20. 20)
      • H. Styles , W. Luk . Branch optimisation techniques for hardware compilation. Lect. Notes Comput. Sci.
    21. 21)
      • K. Underwood . (2004) FPGAs vs. CPUs: trends in peak floating-point performance, Proc. Int. Symp. on FPGAs.
    22. 22)
      • Celoxica, RC2000 Development and evaluation board data sheet, version 1.1, 2004.
    23. 23)
      • Kum, K., Sung, W.: `Word-length optimization for high-level synthesis of digital signal processing systems', Proc. IEEE Int. Workshop on Signal Processing Systems, 1998.
    24. 24)
      • S.W. McKeever , W. Luk , A. Derbyshire . Compiling hardware descriptions with relative placement information for parametrised libraries. Lect. Notes Comput. Sci.
    25. 25)
      • R.P. Wilson , R.S. French , C.S. Wilson , S.P. Amarasinghe , J.M. Anderson , S.W.K. Tjiang , S.-W. Liao , C.-W. Tseng , M.W. Hall , M.S. Lam , J.L. Hennessy . SUIF: an infrastructure for research on parallelizing and optimizing compilers. SIGPLAN Not. , 12 , 31 - 37
    26. 26)
      • V. Betz , J. Rose , A. Marquardt . (1999) Architecture and CAD for deep-submicron FPGAs.
    27. 27)
      • Styles, H., Luk, W.: `Customising graphics applications: techniques and programming interface', Proc. Symp. on Field-Programmable Custom Computing Machines, 2000, IEEE Computer Society Press.
    28. 28)
      • Wong, C.G., Martin, A.J., Thomas, P.: `An architecture for asynchronous FPGAs', Proc. Int. IEEE Conf. on Field-Programmable Technology, 2003.
    29. 29)
      • P.H.W. Leong , K.H. Leung . A microcoded elliptic curve processor using FPGA technology. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , 5 , 550 - 559
    30. 30)
      • Xilinx, Inc., Virtex II Datasheet, June 2004.
    31. 31)
      • Nayak, A., Haldar, M., Choudhary, A., Banerjee, P.: `Precision and error analysis of MATLAB applications during automated hardware synthesis for FPGAs', Proc. Design Automation and Test in Europe, 2001.
    32. 32)
      • Xilinx, Inc., PowerPC 405 Processor Block Reference Guide, October 2003.
    33. 33)
      • McCloud, S.: Catapult C Synthesis-based design flow: speeding implementation and increasing flexibility. White Paper, Mentor Graphics, 2004..
    34. 34)
      • W. Bohm , J. Hammes , B. Draper , M. Chawathe , C. Ross , R. Rinker , W. Najjar . Mapping a single assignment programming language to reconfigurable systems. J. Supercomput. , 117 - 130
    35. 35)
      • De Figueiredo Coutinho, J.G., Luk, W.: `Source-directed transformations for hardware compilation', Proc. IEEE Int. Conf. on Field-Programmable Technology, 2003.
    36. 36)
      • R. Tessier , W. Burleson . Reconfigurable computing and digital signal processing: a survey. J. VLSI Signal Process. , 7 - 27
    37. 37)
      • Singh, S., and Lillieroth, C.J.: Formal verification of reconfigurable cores. Proc. Symp. on Field-Programmable Custom Computing Machines (IEEE Computer Society Press, 1999).
    38. 38)
      • G.A. Constantinides , P.Y.K. Cheung , W. Luk . Optimum and heuristic synthesis of multiple wordlength architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. , 10 , 1432 - 1442
    39. 39)
      • Xilinx, Inc., Microblaze Processor Reference Guide, June 2004.
    40. 40)
      • Jackson, P.A., Hutchings, B.L., Tripp, J.L.: `Simulation and synthesis of CSP-based interprocess communication', Proc. Symp. on Field-Programmable Custom Computing Machines, 2003, IEEE Computer Society Press.
    41. 41)
      • Guo, Z., Najjar, W., Vahid, F., Vissers, K.: `A quantitative analysis of the speedup factors of FPGAs over processors', Proc. Int. Symp. on FPGAs, 2004, ACM Press.
    42. 42)
      • V. Kathail , S. Aditya , R. Schreiber , B. Ramakrishna Rau , D.C. Cronquist , M. Sivaraman . PICO: automatically designing custom computers. Computer , 9 , 39 - 47
    43. 43)
      • W. Luk , S.W. McKeever . Pebble: a language for parametrised and reconfigurable hardware design. Lect. Notes Comput. Sci.
    44. 44)
      • Cantin, M.-A., Savaria, Y., Lavoie, P.: `A comparison of automatic word length optimization procedures', Proc. IEEE Int. Symp. on Circuits and Systems, 2002.
    45. 45)
      • G. Stitt , F. Vahid , S. Nematbakhsh . Energy savings and speedups from partitioning critical software loops to hardware in embedded systems. ACM Trans. Embedded Comput. Syst. , 1 , 218 - 232
    46. 46)
      • W. Luk . Customising processors: design-time and run-time opportunities. Lect. Notes Comput. Sci.
    47. 47)
      • Hauser, J.R., Wawrzynek, J.: `Garp: a MIPS processor with a reconfigurable processor', IEEE Symp. on Field-Programmable Custom Computing Machines, 1997, IEEE Computer Society Press.
    48. 48)
      • Celoxica, Handel-C Language Reference Manual for DK2.0, Document RM-1003-4.0, 2003.
    49. 49)
      • Compton, K., Hauck, S.: `Totem: Custom reconfigurable array generation', Proc. Symp. on Field-Programmable Custom Computing Machines, 2001, IEEE Computer Society Press.
    50. 50)
      • Constantinides, G.A.: `High level synthesis and word length optimization of digital signal processing systems', 2001, PhD thesis, Imperial College London.
    51. 51)
      • K. Bondalapati , V.K. Prosanna . Reconfigurable computing systems. Proc. IEEE , 7 , 1201 - 1217
    52. 52)
      • Lee, T.K., Derbyshire, A., Luk, W., Cheung, P.Y.K.: `High-level language extensions for run-time reconfigurable systems', Proc. IEEE Int. Conf. on Field-Programmable Technology, 2003.
    53. 53)
      • Constantinides, G.A., Cheung, P.Y.K., Luk, W.: `Optimum wordlength allocation', Proc. Symp. on Field-Programmable Custom Computing Machines, 2002, IEEE Computer Society Press.
    54. 54)
      • G.A. Constantinides , P.Y.K. Cheung , W. Luk . (2004) Synthesis and optimization of DSP algorithms.
    55. 55)
      • Constantinides, G.A.: `Perturbation analysis for word-length optimization', Proc. Symp. on Field-Programmable Custom Computing Machines, 2003, IEEE Computer Society Press.
    56. 56)
      • Yamada, A., Nishida, K., Sakurai, R., Kay, A., Nomura, T., Kambe, T.: `Hardware synthesis with the Bach system', Proc. IEEE ISCAS, 1999.
    57. 57)
      • W. Sung , K. Kum . Simulation-based word-length optimization method for fixed-point digital signal processing systems. IEEE Trans. Signal Process. , 12 , 3087 - 3090
    58. 58)
      • N. Telle , C.C. Cheung , W. Luk . Customising hardware designs for elliptic curve cryptography. Lect. Notes Comput. Sci.
    59. 59)
      • S. Guo , W. Luk . An integrated system for developing regular array design. J. Syst. Archit. , 315 - 337
    60. 60)
      • Quicklogic Corp., Eclipse-II Family Datasheet, January 2004.
    61. 61)
      • R. Razdan , M.D. Smith . A high performance microarchitecture with hardware programmable functional units. Int. Symp. on Microarchitecture , 172 - 180
    62. 62)
      • Sung, W., Kum, K.: `Word-length determination and scaling software for a signal flow block diagram', Proc. IEEE Int. Conf. on Acoustics Speech and Signal Processing, 1994.
    63. 63)
      • Mirsky, E., DeHon, A.: `MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources', Proc. Symp. on Field-Programmable Custom Computing Machines, 1996, IEEE Computer Society Press.
    64. 64)
      • Frigo, J., Palmer, D., Gokhale, M., Popkin-Paine, M.: `Gamma-ray pulsar detection using reconfigurable computing hardware', Proc. Symp. on Field Programmable Custom Computing Machines, 2003, IEEE Computer Society Press.
    65. 65)
      • C.A.R. Hoare . (1985) Communicating sequential processes.
    66. 66)
      • Willems, M., Bürsgens, V., Keding, H., Grotker, T., Meyer, M.: `System-level fixed-point design based on an interpolative approach', Proc. 34th Design Automation Conf., June 1997.
    67. 67)
      • Lamoureux, J., Wilton, S.J.E.: `On the interaction between power-aware FPGA CAD algorithms', IEEE Int. Conf. on Computer-Aided Design, 2003.
    68. 68)
      • George, V., Zhang, H., Rabaey, J.: `The design of a low energy FPGA', Proc. Int. Symp. on Low Power Electronics and Design, 1999.
    69. 69)
      • Kagotani, H., Schmit, H.: `Asynchronous PipeRench: architecture and performance evaluations', Proc. Symp. on Field-Programmable Custom Computing Machines, 2003, IEEE Computer Society Press.
    70. 70)
      • Liang, J., Tessier, R., Mencer, O.: `Floating point unit generation and evaluation for FPGAs', Proc. Symp. on Field-Programmable Custom Computing Machines, 2003, IEEE Computer Society Press.
    71. 71)
      • Lee, T.K., Yusuf, S., Luk, W., Sloman, M., Lupu, E., Dulay, N.: `Compiling policy descriptions into reconfigurable firewall processors', Proc. Symp. on Field-Programmable Custom Computing Machines, 2003, IEEE Computer Society Press.
    72. 72)
      • Rissa, T., Luk, W., and Cheung, P.Y.K.: Automated combination of simulation and hardware prototyping. Proc. Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (CSREA Press, 2004).
    73. 73)
      • Gupta, S., Dutt, N.D., Gupta, R.K., Nicolau, A.: `SPARK: a high-level synthesis framework for applying parallelizing compiler transformations', Proc. Int. Conf. on VLSI Design, January 2003.
    74. 74)
      • Cantin, M.A., Savaria, Y., Lavoie, P.: `An automatic word length determination method', Proc. IEEE Int. Symp. on Circuits and Systems, 2001, p. V-53–V-56.
    75. 75)
      • Cadence Design Systems Inc, Palladium Datasheet, 2004.
    76. 76)
      • L. Vereen . Soft FPGA cores attract embedded developers. Embedded Syst. Program.
    77. 77)
      • Keding, H., Willems, M., Coors, M., Meyr, H.: `FRIDGE: A fixed-point design and simulation environment', Proc. Design Automation and Test in Europe, 1998.
    78. 78)
      • C. Ebeling , D. Conquist , P. Franklin . RaPiD – reconfigurable pipelined datapath. Lect. Notes Comput. Sci. Misc.
    79. 79)
      • D. Thomas , W. Luk . A framework for development and distribution of hardware acceleration. Proc. SPIE - Int. Soc. Opt. Eng.
    80. 80)
      • Mencer, O., Pearce, D.J., Howes, L.W., Luk, W.: `Design space exploration with a stream compiler', Proc. IEEE Int. Conf. on Field Programmable Technology, 2003.
    81. 81)
      • Saxe, T., and Faith, B.: Less is more with FPGAs EE Times, 13 September 2004 http://www.eetimes.com/showArticle.jhtml?articleID=47203801.
    82. 82)
      • Ong, S., Kerkiz, N., Srijanto, B., Tan, C., Langston, M., Newport, D., Bouldin, D.: `Automatic mapping of multiple applications to multiple adaptive computing systems', Proc. Int. Symp. on Field-Programmable Custom Computing Machines, 2001, IEEE Computer Society Press.
    83. 83)
      • Constantinides, G.A., Cheung, P.Y.K., Luk, W.: `The multiple wordlength paradigm', Proc. Symp. on Field-Programmable Custom Computing Machines, 2001, IEEE Computer Society Press.
    84. 84)
      • Gokhale, M., and Stone, J.: NAPA C: compiling for a hybrid RISC/FPGA architecture. Proc. Symp. on Field-Programmable Custom Computing Machines (IEEE Computer Society Press, 1998).
    85. 85)
      • S.C. Goldstein , H. Schmit , M. Budiu , S. Cadambi , M. Moe , R. Taylor . PipeRench: a reconfigurable architecture and compiler. Computer , 4 , 70 - 77
    86. 86)
      • M. Taylor . The RAW microprocessor: a computational fabric for software circuits and general purpose programs. IEEE Micro , 2 , 25 - 35
    87. 87)
      • Mentor Graphics, Vstation Pro: High Performance System Verification, 2003.
    88. 88)
      • Altera Corp., DSP Builder User Guide, Version 2.1.3 rev.1, July 2003.
    89. 89)
      • Högl, H., Kugel, A., Ludvig, J., Männer, R., Noffz, K., Zoz, R., Enable++ a second-generation FPGA processor. IEEE Symp. on FPGAs for Custom Computing Machines (IEEE Computer Society Press, 1995).
    90. 90)
      • Laufer, R., Taylor, R., Schmit, H.: `PCI-PipeRench and the SwordAPI: a system for stream-based reconfigurable computing', Proc. Symp. on Field-Programmable Custom Computing Machines, 1999, IEEE Computer Society Press.
    91. 91)
      • J. Teife , R. Manohar . Programmable asynchronous pipeline arrays. Lect. Notes Comput. Sci.
    92. 92)
      • Elixent Corporation, DFA 1000 Accelerator Datasheet, 2003.
    93. 93)
      • Rahman, A., Polavarapuv, V.: `Evaluation of low-leakage design techniques for field programmable gate arrays', Proc. Int. Symp. on Field-Programmable Gate Arrays, 2004, ACM Press.
    94. 94)
      • S.H. Muggleton . Inverse entailment and Progol. New Gener. Comput.
    95. 95)
      • Rupp, C.R., Landguth, M., Garverick, T., Gomersall, E., Holt, H., Arnold, J., Gokhale, M.: `The NAPA adaptive processing architecture', IEEE Symp. on Field-Programmable Custom Computing Machines, May 1998, p. 28–37.
    96. 96)
      • K. Morris . Virtex 4: Xilinx details its next generation. FPGA Program. Logic J.
    97. 97)
      • Derbyshire, A., Luk, W.: `Compiling run-time parametrisable designs', Proc. IEEE Int. Conf. on Field-Programmable Technology, 2002.
    98. 98)
      • W. Luk , P.Y.K. Cheung , N. Shirazi . (2004) Configurable computing, Electrical engineer's handbook.
    99. 99)
      • A. Abdul Gaffar , O. Mencer , W. Luk , P.Y.K. Cheung . (2004) Unifying bit-width optimisation for fixed-point and floating-point designs, Proc. Symp. on Field-Programmable Custom Computing Machines.
    100. 100)
      • K. Compton , S. Hauck . Reconfigurable computing: a survey of systems and software. ACM Comput. Surv. , 2 , 171 - 210
    101. 101)
      • M. Weinhardt , W. Luk . Pipeline vectorization. IEEE Trans. Comput.-Aided Des. , 2 , 234 - 248
    102. 102)
      • S.P. Seng , W. Luk , P.Y.K. Cheung . Run-time adaptive flexible instruction processors. Lect. Notes Comput. Sci.
    103. 103)
      • B. Mei , S. Vernalde , D. Verkest , H. De Man , R. Lauwereins . ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. Lect. Notes Comput. Sci.
    104. 104)
      • J. Hwang , B. Milne , N. Shirazi , J.D. Stroomer . System level tools for DSP in FPGAs. Lect. Notes Comput. Sci.
    105. 105)
      • Clark, D., and Hutchings, B.: The DISC programming environment. Proc. Symp. on FPGAs for Custom Computing Machines (IEEE Computer Society Press, 1996).
    106. 106)
      • Butts, M., DeHon, A., Goldstein, S.: `Molecular electronics: devices, systems and tools for gigagate, gigabit chips', Proc. IEEE Int. Conf. on Computer-Aided Design, 2002.
    107. 107)
      • Abdul Gaffar, A., Mencer, O., Luk, W., Cheung, P.Y.K., Shirazi, N.: `Floating-point bitwidth analysis via automatic differentiation', Proc. Int. Conf. on Field-Programmable Technology, 2002, IEEE.
    108. 108)
      • Kulkarni, C., Brebner, G., Schelle, G.: `Mapping a domain specific language to a platform FPGA', Proc. Design Automation Conf., 2004.
    109. 109)
      • Seng, S.P., Luk, W., Cheung, P.Y.K.: `Flexible instruction processors', Proc. Int. Conf. on Compilers, Arch. and Syn. for Embedded Systems, 2000, ACM Press.
    110. 110)
      • Gokhale, M., Stone, J.M., Arnold, J., Kalinowski, M.: `Stream-oriented FPGA computing in the Streams-C high level language', Proc. Symp. on Field-Programmable Custom Computing Machines, 2000, IEEE Computer Society Press.
    111. 111)
      • Wittig, R.D., Chow, P.: `OneChip: an FPGA processor with reconfigurable logic', IEEE Symp. on FPGAs for Custom Computing Machines, 1996.
    112. 112)
      • Lattice Semiconductor Corp, ispXPGA Family, January 2004.
    113. 113)
      • N. Damianou , N. Dulay , E. Lupu , M. Sloman . The Ponder policy specification language. Lect. Notes Comput. Sci.
    114. 114)
      • E.A. Lee , D.G. Messerschmitt . Static scheduling of synchronous data flow program for digital signal processing. IEEE Trans. Comput. , 24 - 35
    115. 115)
      • Stephenson, M.W.: `Bitwise: Optimizing bitwidths using data-range propagation', May 2000, Master's Thesis, Massachussets Institute of Technology, Dept. Electrical Engineering and Computer Science.
    116. 116)
      • H. Singh , M.-H. Lee , G. Lu , F. Kurdahi , N. Bagherzadeh , E. Chaves . MorphoSys: an integrated reconfigurable system for data-parallel and compute intensive applications. IEEE Trans. Comput. , 5 , 465 - 481
    117. 117)
      • R. Schreiber . PICO-NPA: high-level synthesis of nonprogrammable hardware accelerators. J. VLSI Signal Process. Syst. , 2 , 127 - 142
    118. 118)
      • Williams, R.S., Kuekes, P.J.: `Molecular nanoelectronics', Proc. IEEE Int. Symp. on Circuits and Systems, 2000.
    119. 119)
      • Annapolis Microsystems, Inc., Wildfire Reference Manual, 1998.
    120. 120)
      • A. Ast , J. Becker , R. Hartenstein , R. Kress , H. Reinig , K. Schmidt . Data-procedural languages for FPL-based machines. Lect. Notes. Comput. Sci.
    121. 121)
      • Fidjeland, A., Luk, W., Muggleton, S.: `Scalable acceleration of inductive logic programs', Proc. IEEE Int. Conf. on Field-Programmable Technology, 2002.
    122. 122)
      • Marshall, A., Stansfield, T., Kostarnov, I., Vuillemin, J., Hutchings, B.: `A reconfigurable arithmetic array for multimedia applications', ACM/SIGDA Int. Symp. on FPGAs, Feb 1999, p. 135–143.
    123. 123)
      • Wadekar, S.A., Parker, A.C.: `Accuracy sensitive word-length selection for algorithm optimization', Proc. Int. Conf. on Computer Design, 1998.
    124. 124)
      • Babb, J., Reinard, M., Andras, Moritz, C., Lee, W., Frank, M., Barwa, S., and Amarasinghe, S.: Parallelizing applications into silicon. Proc. Symp. on FPGAs for Custom Computing Machines (IEEE Computer Society Press, 1999).
    125. 125)
      • Altera Corp., Stratix II Device Handbook, February 2004.
    126. 126)
      • J. Vuillemin , P. Bertin , D. Roncin , M. Shand , H. Touati , P. Boucard . Programmable active memories: reconfigurable systems come of age. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , 1 , 56 - 69
    127. 127)
      • G.A. Constantinides , G.J. Woeginger . The complexity of multiple wordlength assignment. Appl. Math. Lett. , 2 , 137 - 140
    128. 128)
      • J. Becker , M. Glesner . A parallel dynamically reconfigurable architecture designed for flexible application-tailored hardware/ software systems in future mobile communication. J. Supercomput. , 1 , 105 - 127
    129. 129)
      • K.-I. Kum , W. Sung . Combined word-length optimization and high-level synthesis of digital processing systems. IEEE Trans. Comput. Aided Des. , 8 , 921 - 930
    130. 130)
      • Altera Corp., Excalibur Device Overview, May 2002.
    131. 131)
      • Benedetti, A., Perona, B.: `Bit-width optimization for configurable DSP's by multi-interval analysis', Proc. 34th Asilomar Conf. on Signals, Systems and Computers, 2000.
    132. 132)
      • Peterson, J., O'Connor, B., and Athanas, P.: Scheduling and partitioning ANSI-C programs onto multi-FPGA CCM architectures. Int. Symp. on FPGAs for Custom Computing Machines (IEEE Computer Society Press, 1996).
    133. 133)
      • Ou, J., and Prasanna, V.: PyGen: a MATLAB/Simulink based tool for synthesizing parameterized and energy efficient designs using FPGAs. Proc. Int. Symp. on Field-Programmable Custom Computing Machines (IEEE Computer Society Press, 2004).
    134. 134)
      • I. Page , W. Luk . (1991) Compiling occam into FPGAs.
    135. 135)
      • Mencer, O.: `PAM-Blox II: design and evaluation of C++ module generation for computing with FPGAs', Proc. Symp. on Field-Programmable Custom Computing Machines, 2002, IEEE Computer Society Press.
    136. 136)
      • Bjesse, P., Claessen, K., Sheeran, M., and Singh, S., Lava: hardware design in Haskell. Proc. ACM Int. Conf. on Functional Programming (ACM Press, 1998).
http://iet.metastore.ingenta.com/content/journals/10.1049/ip-cdt_20045086
Loading

Related content

content/journals/10.1049/ip-cdt_20045086
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address