Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Static test compaction procedure for large pools of multicycle functional broadside tests

This study describes a static test compaction procedure that is applicable in the scenario where (i) a large pool of tests can be generated efficiently, but (ii) test compaction that modifies tests, and covering procedures, are not applicable, and (iii) reverse order fault simulation procedures are not sufficient for test compaction. The procedure has the ability to identify tests in the pool that are effective for test compaction even when they do not increase the fault coverage. This ability is achieved using only fault simulation with fault dropping. The procedure is designed for the case where multicycle functional broadside tests are extracted from functional test sequences. The use of multicycle tests results in higher levels of test compaction than possible with two-cycle functional broadside tests. It adds another dimension to the procedure that also needs to select a number of clock cycles for every test.

References

    1. 1)
      • 15. Pomeranz, I., Reddy, L.N., Reddy, S.M.: ‘COMPACTEST: a method to generate compact test sets for combinational circuits’. Proc. Int. Test Conf., 1991, pp. 194203.
    2. 2)
      • 8. Moghaddam, E.K., Rajski, J., Reddy, S.M., et al: ‘At-speed scan test with low switching activity’. Proc. VLSI Test Symp., 2010, pp. 177182.
    3. 3)
      • 26. Lee, S.Y., Saluja, K.K.: ‘Test application time reduction for sequential circuits with scan’, IEEE Trans. Comput.-Aided Des., 1995, 14, (9), pp. 11281140.
    4. 4)
      • 4. Lin, Y.-C., Lu, F., Yang, K., et al: ‘Constraint extraction for pseudo-functional scan-based delay testing’. Proc. Asia and South Pacific Design Autom. Conf., 2005, pp. 166171.
    5. 5)
      • 9. Valka, M., Bosio, A., Dilillo, L., et al: ‘A functional power evaluation flow for defining test power limits during at-speed delay testing’. Proc. IEEE European Test Symp., 2011, pp. 153158.
    6. 6)
      • 7. Sde-Paz, S., Salomon, E.: ‘Frequency and power correlation between at-speed scan and functional tests’. Proc. Int. Test Conf., 2008, Paper 13.3, pp. 19.
    7. 7)
      • 29. Park, I., McCluskey, E.J.: ‘Launch-on-shift-capture transition tests’. Proc. Int. Test Conf., 2008, pp. 19.
    8. 8)
      • 28. Pomeranz, I., Reddy, S.M.: ‘Transparent scan: a new approach to test generation and test compaction for scan circuits that incorporates limited scan operations’, IEEE Trans. Comput.-Aided Des., 2003, 22, (12), pp. 16631670.
    9. 9)
      • 10. Zhang, T., Walker, D.M.H.: ‘Power supply noise control in pseudo functional test’. Proc. VLSI Test Symp., 2013, pp. 16.
    10. 10)
      • 13. Pomeranz, I.: ‘Piecewise-functional broadside tests based on reachable states’, IEEE Trans. Comput., 2015, 64, (8), pp. 24152420.
    11. 11)
      • 17. Chang, J.-S., Lin, C.-S.: ‘Test set compaction for combinational circuits’. Proc. Asian Test Symp., 1992, pp. 2025.
    12. 12)
      • 31. Pomeranz, I.: ‘A multi-cycle test set based on a two-cycle test set with constant primary input vectors’, IEEE Trans. Comput.-Aided Des., 2015, 34, (7), pp. 11241132.
    13. 13)
      • 5. Polian, I., Fujiwara, F.: ‘Functional constraints vs. Test compression in scan-based delay testing’. Proc. Design, Autom. and Test in Europe Conf., 2006, pp. 16.
    14. 14)
      • 20. Abramovici, M., Breuer, M.A., Friedman, A.D.: ‘Digital systems testing and testable desgin’ (IEEE Press, New York, 1995).
    15. 15)
      • 22. Pomeranz, I., Reddy, S.M.: ‘Stuck-at tuple-detection: a fault model based on stuck-at faults for improved defect coverage’. Proc. VLSI Test Symp., 1998, pp. 289294.
    16. 16)
      • 6. Pomeranz, I., Reddy, S.M.: ‘Generation of functional broadside tests for transition faults’. IEEE Trans. Comput.-Aided Des., 2006, 25, (10), pp. 22072218.
    17. 17)
      • 2. Saxena, J., Butler, K.M., Jayaram, V.B., et al: ‘A case study of IR-drop in structured at-speed testing’. Proc. Int. Test Conf., 2003, pp. 10981104.
    18. 18)
      • 24. Pomeranz, I., Reddy, S.M.: ‘Forward-looking fault simulation for improved static compaction’, IEEE Trans. Comput.-Aided Des., 2001, 20, (10), pp. 12621265.
    19. 19)
      • 27. Pomeranz, I., Reddy, S.M.: ‘Static test compaction for scan-based designs to reduce test application time’. Proc. Asian Test Symp., 1998, pp. 198203.
    20. 20)
      • 16. Reddy, L.N., Pomeranz, I., Reddy, S.M.: ‘ROTCO: a reverse order test compaction technique’. Proc. EURO-ASIC, 1992, pp. 189194.
    21. 21)
      • 21. Hochbaum, D.S.: ‘An optimal test compression procedure for combinational circuits’, IEEE Trans. Comput.-Aided Des., 1996, 15, (10), pp. 12941299.
    22. 22)
      • 19. El-Maleh, A.H., Osais, Y.E.: ‘Test vector decomposition-based static compaction algorithms for combinational circuits’. ACM Trans. on Design Automation of Electronic Systems, October 2003, pp. 430459.
    23. 23)
      • 18. Matsunaga, Y.: ‘MINT -an exact algorithm for finding minimum test sets’, IEICE Trans. Fundam., 1993, E76-A, (10), pp. 16521658.
    24. 24)
      • 23. Yilmaz, M., Chakrabarty, K., Tehranipoor, M.: ‘Interconnect-aware and layout-oriented test-pattern selection for small-delay defects’. Proc. Int. Test Conf., 2008, pp. 110.
    25. 25)
      • 25. Lin, X., Rajski, J., Pomeranz, I., et al: ‘On static test compaction and test pattern ordering for scan designs’. Proc. Int. Test Conf., 2001, pp. 10881097.
    26. 26)
      • 12. Pomeranz, I.: ‘Generation of close-to-functional broadside tests with equal primary input vectors’. Proc. Design Automation Conf., 2015.
    27. 27)
      • 14. Goel, P., Rosales, B.C.: ‘Test generation and dynamic compaction of tests’. Proc. Test Conf., 1979, pp. 189192.
    28. 28)
      • 30. Erb, D., Scheibler, K., Sauer, M., et al: ‘Multi-cycle circuit parameter independent ATPG for interconnect open defects’. Proc. VLSI Test Symp., 2015, pp. 16.
    29. 29)
      • 11. Touati, A., Bosio, A., Dilillo, L., et al: ‘Exploring the impact of functional test programs re-used for power-aware testing’. Proc. Design, Automation & Test in Europe Conf., 2015, pp. 12771280.
    30. 30)
      • 32. Pomeranz, I., Reddy, S.M.: ‘Primary input vectors to avoid in random test sequences for synchronous sequential circuits’, IEEE Trans. Comput.-Aided Des., 2008, 27, (1), pp. 193197.
    31. 31)
      • 3. Pomeranz, I.: ‘On the generation of scan-based test sets with reachable states for testing under functional operation conditions’. Proc. Design Autom. Conf., 2004, pp. 928933.
    32. 32)
      • 1. Rearick, J.: ‘Too much delay fault coverage is a bad thing’. Proc. Int. Test Conf., 2001, pp. 624633.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2017.0239
Loading

Related content

content/journals/10.1049/iet-cdt.2017.0239
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address