Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Extending multi-level STT-MRAM cell lifetime by minimising two-step and hard state transitions in hot bits

Shifting market trends towards mobile, Internet of things, and data-centric applications create opportunities for emerging low-power non-volatile memories. The attractive features of spin-torque-transfer magnetic-RAM (STT-MRAM) make it a promising candidate for future on-chip cache memory. Two-bit multiple-level cell (MLC) STT-MRAMs suffer from higher write energy, performance overhead, and lower cell endurance when compared with single-level counterpart. These unwanted effects are mainly due to write operations known as two-step (TT) and hard transitions (HT). Here, the authors offer a solution to tackle write energy problem in MLC STT-MRAM by minimising the number of TT and HT transitions. By analysing real applications, it was observed that specific locations within a cache block undergo much more TT and HT transitions resulting in hot locations when compared with other ones (cold locations). These hot locations are more detrimental to the lifetime and reliability of MRAM device. In this work, the authors propose a simple and intuitive dynamic encoding scheme that eliminates all TT and HT at hot locations, hence reducing energy consumption and improving MLC STT-MRAM lifetime. Results on PARSEC benchmarks demonstrate the effectiveness and scalability of the proposed approach to potentially prolong MLC STT-MRAM lifetime.

References

    1. 1)
      • 25. Chen, T., Meng, J., Ma, J., et al: ‘Phase based and application based dynamic encoding scheme for multi-level cell STT-MRAM’. Proc. of High Performance Computing and Communications & 2013 IEEE Int. Conf. on Embedded and Ubiquitous Computing, 2013, pp. 18.
    2. 2)
      • 14. Liu, X., Mao, M., Bi, X., et al: ‘An efficient STT-MRAM-based register file in GPU architectures’. Proc. of the 20th Asia and South Pacific Design Automation Conf., January 2015, pp. 490495.
    3. 3)
      • 1. Abaker, I., Hashem, T., Yaqoob, I., et al: ‘The rise of “big data” on cloud computing: review and open research issues’, Inf. Syst., 2015, 47, pp. 98115.
    4. 4)
      • 36. Park, D., Du, D.: ‘Hot data identification for flash-based storage systems using multiple bloom filters’. IEEE 27th Symp. on Mass Storage Systems and Technologies, 2011, pp. 111.
    5. 5)
      • 5. Hosomi, M., Yamagishi, H., Yamamoto, T., et al: ‘A novel nonvolatile memory with spin torque transfer magnetisation switching: spin-ram’. IEEE Int. Electron Devices Meeting, 2005, pp. 459462.
    6. 6)
      • 28. Wen, W., Zhang, Y., Mao, M., et al: ‘State-restrict MLC STT-MRAM designs for high-reliable high-performance memory system’. Proc. of the 51st Annual Design Automation Conf., 2014, pp. 16.
    7. 7)
      • 15. Zhang, Y., Yan, B., Kang, W., et al: ‘Compact model of subvolume MTJ and its design application at nanoscale technology nodes’, IEEE Trans. Electron Devices, 2015, 62, (6), pp. 20482055.
    8. 8)
      • 32. Luo, H., Hu, J., Shi, L., et al: ‘Two-step state transition minimisation for lifetime and performance improvement on MLC STT-MRAM’. 53rd ACM/EDAC/IEEE Design Automation Conf., 2016, pp. 16.
    9. 9)
      • 37. Jalili, M., Sarbazi-Azad, H.: ‘Captopril: reducing the pressure of bit flips on hot locations in non-volatile main memories’. Proc. of the 2016 Design, Automation & Test in Europe Conf. & Exhibition, 2016, pp. 11161119.
    10. 10)
      • 16. Chun, K.C., Zhao, H., Harms, J.D., et al: ‘A scaling roadmap and performance evaluation of in-plane and perpendicular MTJ based STT-MRAMs for high-density cache memory’, IEEE J. Solid-State Circuits, 2013, 48, (2), pp. 598610.
    11. 11)
      • 23. Jiang, L., Zhao, B., Zhang, Y., et al: ‘Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors’. 49th ACM/EDAC/IEEE Design Automation Conf., June 2012, pp. 907912.
    12. 12)
      • 17. Kim, J., Zhao, H., Jiang, Y., et al: ‘Scaling analysis of in-plane and perpendicular anisotropy magnetic tunnel junctions using a physics-based model’. 72nd Annual Device Research Conf. (DRC), 2014, pp. 155156.
    13. 13)
      • 21. Yang, B., Lee, J., Kim, J., et al: ‘A low power phase-change random access memory using a data-comparison write scheme’. Proc. of the IEEE Int. Symp. on Circuits and Systems, 2007, pp. 30143017.
    14. 14)
      • 19. Lou, X., Gao, Z., Dimitrov, D., et al: ‘Demonstration of multilevel cell spin transfer switching in mgo magnetic tunnel junctions’, Appl. Phys. Lett., 2008, 93, (24), pp. 242502242502–3.
    15. 15)
      • 11. Fong, X., Kim, Y., Venkatesan, R., et al: ‘Spin-transfer torque memories: devices, circuits, and systems’, Proc. IEEE, 2016, 104, (7), pp. 14491488.
    16. 16)
      • 34. Kang, W., Zhao, W., Wang, Z., et al: ‘DFSTT-MRAM: dual functional STT-MRAM cell structure for reliability enhancement and 3-D MLC functionality’, IEEE Trans. Magn., 2014, 50, (6), pp. 17.
    17. 17)
      • 9. Lee, B., Zhou, P., Yang, J., et al: ‘Phase-change technology and the future of main memory’, IEEE Micro, 2010, 30, (1), pp. 131141.
    18. 18)
      • 4. Yu, S., Chen, P.Y.: ‘Emerging memory technologies: recent trends and prospects’, IEE Solid-State Circuits Mag., 2016, 8, (2), pp. 4356.
    19. 19)
      • 27. Hong, S., Lee, J., Kim, S.: ‘Ternary cache: three-valued MLC STT-MRAM caches’. Proc. of the IEEE Int. Conf. on Computer Design, 2014, pp. 8389.
    20. 20)
      • 31. Cho, S., Lee, H.: ‘Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance’. Proc. of the 42nd Annual IEEE/ACM Int. Symp. on Microarchitecture, 2009, pp. 347357.
    21. 21)
      • 35. Hsieh, J., Kuo, T.: ‘Efficient identification of hot data for flash storage systems’, ACM Trans. Storage, 2006, 2, (1), pp. 2240.
    22. 22)
      • 38. Bienia, C., Kumar, S., Singh, J., et al: ‘The PARSEC benchmark suite: characterization and architectural implications’. Proc. of the 17th Int. Conf. on Parallel Architectures and Compilation Techniques, 2008, pp. 7281.
    23. 23)
      • 2. Chen, A.: ‘A review of emerging non-volatile memory (NVM) technologies and applications’, Solid-State Electron., 2016, 125, pp. 2538.
    24. 24)
      • 13. Zhao, H., Sun, H., Yang, Q., et al: ‘Exploring the use of volatile STT-MRAM for energy efficient video processing’. 17th IEEE Int. Symp. on Quality Electronic Design, 2016, pp. 8187.
    25. 25)
      • 7. Kryder, M.H., Kim, C.S.: ‘After hard drives – what comes next?’, IEEE Trans. Magn., 2009, 45, (10), pp. 34063413.
    26. 26)
      • 10. Chi, P., Li, S., Cheng, Y., et al: ‘Architecture design with STT-MRAM: opportunities and challenges’. 21st Asia and South Pacific Design Automation Conf., 2016, pp. 109114.
    27. 27)
      • 8. Wong, H., Raoux, S., Kim, S., et al: ‘Phase change memory’, Proc. IEEE, 2010, 98, (12), pp. 22012227.
    28. 28)
      • 30. Alsuwaiyan, A., Mohanram, K.: ‘MFNW: a flip-n-write architecture for multi-level cell non-volatile memories’. IEEE/ACM Int. Symp. on Nanoscale Architectures, 2015, pp. 1318.
    29. 29)
      • 20. Chen, Y., Wang, X., Li, H., et al: ‘Access scheme of multi-level cell spin-transfer torque random access memory and its optimization’. IEEE Int. Midwest Symp. on Circuits and Systems, 2010, pp. 11091112.
    30. 30)
      • 29. Wang, J., Roy, P., Wong, F., et al: ‘Optimizing MLC-based STT-RAM caches by dynamic block size reconfiguration’. Proc. of the IEEE Int. Conf. on Computer Design, 2014, pp. 133138.
    31. 31)
      • 3. ITRS: ‘International technology roadmap for semiconductors’, 2017. Available at http://public.itrs.net.
    32. 32)
      • 6. Zhu, B.J.: ‘Magnetoresistive random access memory: the path to competitiveness and scalability’, Proc. IEEE, 2008, 96, (11), pp. 17861798.
    33. 33)
      • 26. Chi, P., Xu, C., Zhu, X., et al: ‘Building energy-efficient multi-level cell STT-MRAM based cache through dynamic data-resistance encoding’. Proc. of the IEEE Fifteenth Int. Symp. on Quality Electronic Design, 2014, pp. 639644.
    34. 34)
      • 39. Binkert, N., Beckmann, B., Black, G., et al: ‘The gem5 simulator’, ACM SIGARCH Comput. Archit. News, 2011, 39, (2), pp. 17.
    35. 35)
      • 24. Bi, X., Mao, M., Wang, D., et al: ‘Unleashing the potential of MLC STT-MRAM caches’. IEEE/ACM Int. Conf. on Computer Aided Design, 2013, pp. 429436.
    36. 36)
      • 18. Ishigaki, T., Kawahara, T., Takemura, R., et al: ‘A multi-level-cell spin-transfer torque memory with series-stacked magnetotunnel junctions’. Symp. on VLSI Technology, 2010, pp. 4748.
    37. 37)
      • 22. Chen, Y., Wong, W., Li, H., et al: ‘Processor caches built using multi-level spin-transfer torque ram cells’. IEEE/ACM Int. Symp. on Low Power Electronics and Design, 2011, pp. 7378.
    38. 38)
      • 33. Zang, X., Li, X., Sun, Y., et al: ‘Energy optimization for multi-level cell STT-MRAM using state remapping’. IEEE 18th Conf. on High Performance Computing and Communications, 2016, pp. 546553.
    39. 39)
      • 12. Chi, P., Xu, C., Zhang, T., et al: ‘Using multi-level cell STT-MRAM for fast and energy-efficient local checkpointing’. IEEE/ACM Int. Conf. on Computer Aided Design, 2014, pp. 301308.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2017.0089
Loading

Related content

content/journals/10.1049/iet-cdt.2017.0089
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address