access icon free Comparative analysis of network-on-chip simulation tools

Network-on-chip (NoC) is a reliable and scalable communication paradigm deemed as an alternative to classic bus systems in modern systems-on-chip designs. Consequently, one can observe extensive multidimensional research related to the design and implementation of NoC-based systems. A basic requirement for most of these activities is the availability of NoC simulators that enable the study and comparison of different technologies. This study targets the analysis of different NoC simulators and highlights its contributions towards NoC research. Various NoC tools such as NoCTweak, Noxim, Nirgam, Nostrum, BookSim, WormSim, NOCMAP and ORION are evaluated and their strengths and weaknesses are highlighted. The comparative analysis includes methods for estimation of latency, throughput and energy consumption. Further, the exemplary real world application, video object plane decoder is mapped on a 2D mesh NoC using different mapping algorithms under NOCMAP and NoCTweak simulators for comparative analysis of the NoC simulators and their embedded mapping algorithms.

Inspec keywords: integrated circuit design; mesh generation; video coding; network-on-chip; integrated circuit reliability

Other keywords: network-on-chip simulation tools; throughput estimation; scalable communication paradigm; NoCTweak simulator; NOCMAP simulator; energy consumption estimation; NoC-based systems; WormSim; BookSim; ORION; NoC simulators; Nostrum; systems-on-chip designs; NoC research; Nirgam; embedded mapping algorithms; Noxim; latency estimation; video object plane decoder; 2D mesh NoC

Subjects: Image and video coding; Network-on-chip; Finite element analysis; Network-on-chip; Digital circuit design, modelling and testing; Reliability; Video signal processing; Finite element analysis; Semiconductor integrated circuit design, layout, modelling and testing

References

    1. 1)
      • 36. Kumar Sahu, P., Chattopadhyay, S.: ‘A survey on application mapping strategies for network-on-chip design’, J. Syst. Archit., 2013, 59, pp. 6076.
    2. 2)
      • 7. Gulzari, U.A., Khan, S., Anjum, S., et al: ‘An efficient and scalable cross-by-pass-mesh architecture for on-chip communication’, IET Comput. Digit. Tech., 2017, (11), pp. 140148.
    3. 3)
      • 19. Lu, Z.: ‘NNSE: Nostrum network-on-chip simulation environment’. Swedish, System on Chip, 2005.
    4. 4)
      • 11. Gehlot, P., Singh Chouhan, S.: ‘Performance evaluation of network-on-chip architectures’. Int. Conf. Emerging Trends in Electronic and Photonic Devices and Systems (ELECTRO-2009).
    5. 5)
      • 25. Cong, J., Gururaj, K., Han, G., et al: ‘MC-Sim: an efficient simulation tool for MPSoC designs’. IEEE/ACM Int. Conf. Computer-Aided Design (ICCAD), 2008, pp. 364371.
    6. 6)
      • 43. Amoretti, M.: ‘Modeling and simulation of network-on-chip systems with DEVS and DEUS’, Hindawi Sci. World J., 2014, 2014, Article ID 982569, pp. 19.
    7. 7)
      • 49. Ogras, U.Y., Marculescu, R.: ‘It's a small world after all’: NoC performance optimization via long-range link insertion’, IEEE Trans. Very Large Scale Integr. Syst., 2006, 14, (7), pp. 693706.
    8. 8)
      • 45. Liu, W., Xu, J., Wu, X., et al: ‘A NoC traffic suite based on real applications’. IEEE Computer Society Annual Symp. VLSI (ISVLSI), 2011, pp. 6671.
    9. 9)
      • 34. Agarwal, A., Iskander, C., Shankar, R.: ‘Survey of network-on-chip (NoC) architectures & contributions’, J. Eng. Comput. Arch., 2009, 3, (1), pp. 115.
    10. 10)
      • 33. Marculescu, R., Ogras, U.Y., Peh, L.S., et al: ‘Outstanding research problems in NoC design: systems, micro architecture, and circuit perspectives’, IEEE Trans. Computer-Aided Des. Integr. Circ. Syst., 2009, 28, (1), pp. 0321.
    11. 11)
      • 30. Ning, W.: ‘Simulation and performance analysis of network-on-chip architectures using OPNET’, 1-4244-1132-7/07 © 2007 IEEE.
    12. 12)
      • 48. Genko, N., Atienza, D., De Micheli, G., et al: ‘Feature – NoC emulation: a tool and design flow for MPSoC’, IEEE Circuits Syst. Mag., 2007, 7, (4), pp. 4251.
    13. 13)
      • 42. Fernandez-Alonso, E., Castells-Rufas, D., Joven, J.: ‘Survey of NoC and programming models proposals for MPSoC’, IJCSI Int. J. Comput. Sci. Issues, 2012, 9, (2), No 3, pp. 2232.
    14. 14)
      • 24. Chan, J., Parameswaran, S.: ‘NoCGEN: a template based reuse methodology for networks on chip architecture’. IEEE 17th Int. Conf. VLSI Design, 2004, pp. 717720.
    15. 15)
      • 44. Dahir, N.S., Mak, T., Xia, F., et al: ‘Modeling and tools for power supply variations analysis in networks-on-chip’, IEEE Trans. Comput.’, 2014, 63, (3), pp. 679690.
    16. 16)
      • 2. Dally, W.J., Towels, B.: ‘Route packets, not wires, on-chip interconnection networks’. Proc. 38th DAC, 2001, pp. 684689.
    17. 17)
      • 17. Tran, A.T., Baas, B.M.: ‘NoCTweak: a highly parameterizable simulator for early exploration of performance and energy of networks on chip’. Technical Report, VLSI Computation Lab, ECE Department, UC Davis, July, 2012.
    18. 18)
      • 41. Ben-Itzhak, Y., Zahavi, E., Cidon, I., et al: ‘HNOCS: modular open-source simulator for heterogeneous NoCs’. Embedded Computer Systems (SAMOS), 2012 Int. Conf. Samos, 2013.
    19. 19)
      • 29. Anjum, S., Munir, E.U.: ‘Simulation and performance evaluation of network-on-chip architectures and algorithms using CINSIM’, J. Basic Appl. Sci. Res., 2011, 1, (10), pp. 15941602.
    20. 20)
      • 18. Jiang, N.: ‘BookSim 2.0 user's guide’, May 7, 2013.
    21. 21)
      • 22. Hossain, H., Ahmed, M., Al-Nayeem, A., et al: ‘Gpnocsim – a general purpose simulator for network-on-chip’. Int. Conf. Information and Communication Technology, 2007. ICICT ‘07.Dhaka, 2008.
    22. 22)
      • 4. Mineo, C., Davis, W.R.: ‘The benefits of 3D networks-on-chip as shown with LDPC decoding’. IEEE Int. Conf. 3D System Integration, 2009. 3DIC2009, pp. 18.
    23. 23)
      • 31. Ben-Itzhak, Y., Zahavi, E., Cidon, I., et al: ‘NoCs simulation framework for OMNeT++’. Fifth IEEE/ACM Int. Symp. Networks on Chip (NoCS), 2011, pp. 265266.
    24. 24)
      • 16. Catania, V., Mineo, A., Palesi, M., et al: ‘Cycle-accurate network-on-chip simulation with Noxim’, ACM Trans. Model. Comput. Simul., 2016, 27, (1), Article 4, 25 pages.
    25. 25)
      • 46. Ghosh, D., Ghosal, P., Mohanty, S.P.: ‘A highly parameterizable simulator for performance analysis of NoC architectures’. Int. Conf. Information Technology (ICIT), 2014, pp. 311315.
    26. 26)
      • 21. Jueping, C., Gang, H., Shaoli, W.: ‘OPNEC-Sim: an efficient simulation tool for network-on-Chip communication and energy performance analysis’. 10th IEEE Int. Conf. Solid-State and Integrated Circuit Technology (ICSICT), 2010, pp. 18921894.
    27. 27)
      • 40. Qian, Z., Bogdan, P., Tsui, C.-Y., et al: ‘Performance evaluation of NoC-based multicore systems: from traffic analysis to NoC latency modelling’, ACM Trans. Design Autom. Electron. Syst., 2016, 21, (3), pp. 138.
    28. 28)
      • 35. Abbas, A.: ‘A survey on energy-efficient methodologies and architectures of network-on-chip’, Comput. Electric. Eng. J., 2014, 40, (8), pp. 333347.
    29. 29)
      • 6. Gulzari, U.A., Anjum, S., Torres, F.S., et al: ‘A new cross-by-pass-torus architecture based on CBP-mesh and torus interconnection for on-chip communication’, PLoS ONEDecember 1, 2016, 11, (12), pp. 118, e0167590.
    30. 30)
      • 47. Onizawa, N., Funazaki, T., Matsumoto, A., et al: ‘Asynchronous network-on-chip simulation based on a delay-aware mode’. IEEE Computer Society Annual Symp. VLSI, 2010, pp. 357362.
    31. 31)
      • 50. Hu, J.: ‘Energy and performance aware mapping for regular NoC architectures’, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005, 24, (4), pp. 551562.
    32. 32)
      • 32. Kourdy, R., Yazdanpanah, S., Rad, M.R.N.: ‘Using the NS-2 network simulator for evaluating multi-Protocol label switching in network-on-Chip’. Second Int. Conf. Computer Research and Development, 2010, pp. 795799.
    33. 33)
      • 14. Jain, L., Al-Hashimi, B., Gaur, M., et al: ‘NIRGAM: a simulator for NoC interconnect routing and application modeling’. Workshop on Diagnostic Services in Network-on-Chips, DATE, 2007, pp. 1620.
    34. 34)
      • 3. Choudhary, N.: ‘Network-on-chip: a new SoC communication infrastructure paradigm’, Int. J. Soft Comput. Eng., 2012, 1, (6), pp. 332335.
    35. 35)
      • 23. Lis, M., Shim, K., Cho, M., et al: ‘DARSIM: a parallel cycle-level NoC simulator’. 6th Annual Workshop on Modeling, Benchmarking and Simulation, Saint Malo, France, June 2010, pp. 110.
    36. 36)
      • 13. Kahng, A.B, Lin, B., Nath, S.: ‘ORION 3.0: a comprehensive NoC router estimation tool’, IEEE Embedded Syst., 2015, 7, (2), pp. 4145.
    37. 37)
      • 20. Murali, S., De Micheli, G.: ‘SUNMAP: a tool for automatic topology selection and generation for NoCs’. Proc. 41st Design Automation Conf., 2004, pp. 914919.
    38. 38)
      • 27. Hu, J., Marculescu, R.: ‘Energy-aware mapping for tile-based NoC architectures under performance constraints’. Asia and South Pacific Design Automation Conf., 2003, pp. 233239.
    39. 39)
      • 12. Kahng, A.B.: ‘ORION 2.0: a power-area simulator for interconnection networks’, IEEE Trans. VLSI Syst., 2012, 20, (1), p. 191.
    40. 40)
      • 5. Jantch, A., Tenhunen, H.: ‘Networks on chip’ (Kluwer Academic Publishers, 2003).
    41. 41)
      • 8. Atienza, D., Angiolini, F., Murali, S., et al: ‘Network-on-chip design and synthesis outlook’, VLSI J., 2008, 41, pp. 340359.
    42. 42)
      • 1. Benini, L., De Micheli, G.: ‘Networks on chips: a new SoC paradigm’, IEEE Comput. Soc., 2002, 35, (1), pp. 7078.
    43. 43)
      • 38. Neuenhahn, M.C., Schleifer, J., Blume, H., et al: ‘Quantitative comparison of performance analysis techniques for modular and generic network-on-chip’, Adv. Radio Sci., 2009, 7, pp. 107112.
    44. 44)
      • 26. Lv, M., Guo, Y., Guan, N., et al: ‘RTNoc: a simulation tool for real-Time communication scheduling on networks-on-Chips’. Int. Conf. Computer Science and Software Engineering, 2008, vol. 4, pp. 102105.
    45. 45)
      • 15. Fazzino, F., Palesi, M., Patti, D.: ‘Noxim: network-on-chip simulator’, 2008.
    46. 46)
      • 9. Tsai, W.C., Lan, Y.C., Hu, Y.H., et al: ‘Networks on chips: structure and design methodologies’, Hindawi J. Electric. Comput. Eng., 2012, 2012, Article ID 509465, 15 pages.
    47. 47)
      • 37. Ben Achballah, A., Ben Saoud, S.: ‘A survey of network-on-chip tools’, Int. J. Adv. Comput. Sci. Applic., 2013, 4, (9), p. 61.
    48. 48)
      • 10. Sahu, S., Kittur, H.M.: ‘Area and power efficient network-on-chip router architecture’. IEEE Conf. Information and Communication Technologies (ICT), 2013, pp. 855859.
    49. 49)
      • 39. Alalaki, M.S, Agyeman, M.O: ‘A study of recent contribution on simulation tools for network-on-chip’, Int. J. Comput. Electric. Autom. Control Inf. Eng., 2017, 11, (4), pp. 3337.
    50. 50)
      • 28. Tutsch, D., Lüdtke, D., Walter, A., et al: ‘CINSim – a component based interconnection network simulator for modeling dynamic reconfiguration’. Proc. 12th Int. Conf. ASMTA, 2005, pp. 132137.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2017.0068
Loading

Related content

content/journals/10.1049/iet-cdt.2017.0068
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading