access icon free On-chip generation of primary input sequences for multicycle functional broadside tests

Functional broadside tests are important for avoiding overtesting of delay faults during the application of scan-based tests. Multicycle tests have advantages in defect detection and test compaction. This study addresses the on-chip generation of primary input sequences for the application of multicycle functional broadside tests to a circuit that is embedded in a larger design. In this study, multicycle functional broadside tests are considered under two types of constraints: (i) functional constraints that the design imposes on the primary input patterns of the circuit, and (ii) test application constraints when direct access to the primary inputs of the circuit is not available, and the application of two or more consecutive primary input patterns requires hardware support. The use of multicycle functional broadside tests also results in an increased fault coverage.

Inspec keywords: system-on-chip; integrated circuit testing

Other keywords: onchip generation; multicycle functional broadside tests; primary input sequences; functional constraints; hardware support; fault coverage; consecutive primary input patterns; test application constraints

Subjects: System-on-chip; System-on-chip; Digital circuit design, modelling and testing

References

    1. 1)
      • 10. Lin, Y.-C., Lu, F., Yang, K., et al: ‘Constraint extraction for pseudo-functional scan-based delay testing’. Proc. Asia and South Pacific Design Automation Conf., 2005, pp. 166171.
    2. 2)
      • 14. Li, Y.-H., Lien, W.-C., Lin, I.-C., et al: ‘Capture-power-safe test pattern determination for at-speed scan-based testing’, IEEE Trans. Comput.-Aided Des., 2014, 33, (1), pp. 127138.
    3. 3)
      • 2. Saxena, J., Butler, K.M., Jayaram, V.B., et al: ‘A case study of IR-drop in structured at-speed testing’. Proc. Int. Test Conf., 2003, pp. 10981104.
    4. 4)
      • 20. Pomeranz, I.: ‘A multi-cycle test set based on a two-cycle test set with constant primary input vectors’, IEEE Trans. Comput.-Aided Des., 2015, 34, (7), pp. 11241132.
    5. 5)
      • 7. Touati, A., Bosio, A., Dilillo, L., et al: ‘Exploring the impact of functional test programs re-used for power-aware testing’. Proc. Design, Automation & Test in Europe Conf., 2015, pp. 12771280.
    6. 6)
      • 8. Pomeranz, I.: ‘Generation of close-to-functional broadside tests with equal primary input vectors’. Proc. Design Automation Conf., 2015.
    7. 7)
      • 21. Lin, X., Thompson, R.: ‘Test generation for designs with multiple clocks’. Proc. Design Automation Conf., 2003, pp. 662667.
    8. 8)
      • 13. Zhang, T., Walker, D.M.H.: ‘Power supply noise control in pseudo functional test’. Proc. VLSI Test Symp., 2013, pp. 16.
    9. 9)
      • 18. Maxwell, P.C., Aitken, R.C., Kollitz, K.R., et al: ‘IDDQ and AC scan: the war against unmodelled defects’. Proc. Int. Test Conf., 1996, pp. 250258.
    10. 10)
      • 5. Valka, M., Bosio, A., Dilillo, L., et al: ‘A functional power evaluation flow for defining test power limits during at-speed delay testing’. Proc. IEEE European Test Symp., 2011, pp. 153158.
    11. 11)
      • 19. Lee, S.Y., Saluja, K.K.: ‘Test application time reduction for sequential circuits with scan’, IEEE Trans. Comput.-Aided Des., 1995, 14, (9), pp. 11281140.
    12. 12)
      • 15. Miyase, K., Sauer, M., Becker, B., et al: ‘Identification of high power consuming areas with gate type and logic level information’. Proc. European Test Symp., 2015, pp. 16.
    13. 13)
      • 11. Polian, I., Fujiwara, F.: ‘Functional constraints vs. test compression in scan-based delay testing’. Proc. Design, Automation and Test in Europe Conf., 2006, pp. 16.
    14. 14)
      • 4. Pomeranz, I., Reddy, S.M.: ‘Generation of functional broadside tests for transition faults’, IEEE Trans. Comput.-Aided Des., 2006, 25, (10), pp. 22072218.
    15. 15)
      • 16. Hirai, A., Yamauchi, Y., Hosokawa, T., et al: ‘A low capture power test generation method using capture safe test vectors’. Proc. European Test Symp., 2015, pp. 12.
    16. 16)
      • 6. Pomeranz, I.: ‘Generation of functional broadside tests for logic blocks with constrained primary input sequences’, IEEE Trans. Comput.-Aided Des., 2013, 32, (3), pp. 442452.
    17. 17)
      • 3. Sde-Paz, S., Salomon, E.: ‘Frequency and power correlation between at-speed scan and functional tests’. Proc. Int. Test Conf., 2008, Paper 13.3, pp. 19.
    18. 18)
      • 17. Ding, W.-S., Hsieh, H.-Y., Han, C.-Y., et al: ‘Test pattern modification for average IR-drop reduction’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2016, 24, (1), pp. 3849.
    19. 19)
      • 1. Rearick, J.: ‘Too much delay fault coverage is a bad thing’. Proc. Int. Test Conf., 2001, pp. 624633.
    20. 20)
      • 12. Moghaddam, E.K., Rajski, J., Reddy, S.M., et al: ‘At-speed scan test with low switching activity’. Proc. VLSI Test Symp., 2010, pp. 177182.
    21. 21)
      • 22. Bhargava, G., Meehl, D., Sage, J.: ‘Achieving serendipitous N-detect mark-offs in multi-capture-clock scan patterns’. Proc. Int. Test Conf., 2007, Paper 30.2.
    22. 22)
      • 9. Pomeranz, I.: ‘Design-for-testability for functional broadside tests under primary input constraints’, ACM Trans. Des. Autom., 2016, 21, (2), pp. 35:135:18.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2017.0032
Loading

Related content

content/journals/10.1049/iet-cdt.2017.0032
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading