Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Moving towards grey-box predictive models at micro-architecture level by investigating inherent program characteristics

Predictive modelling has gained much attention in the last decade, aiming fast evaluation of different design points in design space exploration (DSE) process. However, predictive model construction still requires costly simulations for every unseen program. To reduce the number of simulations, several cross-program prediction schemes have been developed. This study proposes a cross-program predictive scheme for micro-architectural DSE. The scheme measures a set of representative inherent characteristics for the unseen program and compares them against the same characteristics of training programs. Then, based on similarity information, the performance trend of the unseen program is predicted using the predictive models of training programs. As the raw data of the characteristics do not characterise programs in performance space, the authors propose a novel method which transforms the characteristic space into performance space. The proposed method achieves 13.3× speed-up over the program-centric scheme with an average correlation coefficient of 0.92.

References

    1. 1)
      • 26. García-Valls, M., Basanta-Val, P.: ‘Analysing point-to-point DDS communication over desktop virtualization software’, Comput. Stand. Interfaces., 2017, 49, pp. 1121.
    2. 2)
      • 22. Ahmadinejad, H., Fatemi, O.: ‘Moving toward gray-box predictive models at micro-architecture level by investigating program inherent parallelism’. Proc. Iranian Conf. Electrical Engineering, Iran, Tehran, May 2015, pp. 699704.
    3. 3)
      • 6. Lee, B.C., Brooks, D.M.: ‘Illustrative design space studies with micro-architectural regression models’. Proc. Int. Symp. High Performance Computer Architecture, Phoenix, USA, February 2007, pp. 340351.
    4. 4)
      • 1. Sherwood, T., Perelman, E., Hamerly, G., et al: ‘Automatically characterizing large scale program behavior’, ACM SIGOPS Oper. Syst. Rev., 2002, 30, (5), pp. 4557.
    5. 5)
      • 14. Cavazos, J., Dubach, C., Agakov, , et al: ‘Automatic performance model construction for the fast software exploration of new hardware designs’. Proc. Int. Conf. Compilers, Architecture and Synthesis for Embedded Systems, Seoul, Korea, October 2006, pp. 2434.
    6. 6)
      • 15. Chen, J., John, L.K., Kaseridis, D.: ‘Modeling program resource demand using inherent program characteristics’. Proc. ACM SIGMETRICS Joint Int. Conf. Measurement and Modeling of Computer Systems, San Jose, USA, June 2011, pp. 112.
    7. 7)
      • 17. Hoste, K., Phansalkar, A., Eeckhout, L., et al: ‘Performance prediction based on inherent program similarity’. Proc. Int. Conf. Parallel Architectures and Compilation Techniques, Seattle, USA, September 2006, pp. 114122.
    8. 8)
      • 18. Saavedra, R.H., Smith, A.J.: ‘Analysis of benchmark characteristics and benchmark performance prediction’, ACM Trans. Comput. Syst., 1996, 14, (4), pp. 344384.
    9. 9)
      • 21. Joshi, A., Phansalkar, A., Eeckhout, L., et al: ‘Measuring benchmark similarity using inherent program characteristics’, IEEE Trans. Comput., 2006, 55, (6), pp. 769782.
    10. 10)
      • 4. Genbrugge, D., Eeckhout, L.: ‘Chip multiprocessor design space exploration through statistical simulation’, IEEE Trans. Comput., 2009, 58, (12), pp. 16681681.
    11. 11)
      • 7. Joseph, P.J., Vaswani, K., Thazhuthaveetil, M.J.: ‘A predictive performance model for superscalar processors’. Proc. Int. Symp. Micro-architecture, Orlando, USA, December 2006, pp. 161170.
    12. 12)
      • 24. Haungs, M., Sallee, P., Farrens, M.: ‘Branch transition rate: a new metric for improved branch classification analysis’. Proc. Int. Symp. High-Performance Computer Architecture, Toulouse, France, 2000, pp. 241250.
    13. 13)
      • 19. Eeckhout, L., Vandierendonck, H., Bosschere, K.: ‘Workload design: selecting representative program-input pairs’. Proc. Int. Conf. Parallel Architectures and Compilation Technique, Virginia, USA, 2002, pp. 8394.
    14. 14)
      • 16. Ïpek, E., De Supinski, B.R., Schulz, M., et al: ‘An approach to performance prediction for parallel applications’. Proc. European Conf. Parallel Processing, Lishoa, Portugal, August 2005, pp. 196205.
    15. 15)
      • 10. Guo, Q., Chen, T., Chen, Y., et al: ‘Microarchitectural design space exploration made fast’, Microprocess. Microsyst., 2013, 37, (1), pp. 4151.
    16. 16)
      • 3. Nussbaum, S., Smith, J.E.: ‘Modeling superscalar processors via statistical simulation’. Proc. Int. Conf. Parallel Architectures and Compilation Techniques, Barcelona, Spain, 2001, pp. 1524.
    17. 17)
      • 11. Dubach, C., Jones, T.M., O'Boyle, M.F.: ‘An empirical architecture-centric approach to micro-architectural design space exploration’, IEEE Trans. Comput., 2011, 60, (10), pp. 14451458.
    18. 18)
      • 25. Basanta-Val, P., Audsley, N.C., Wellings, A.J., et al: ‘Architecting time-critical big-data systems’, IEEE Trans. Big Data, 2016, 2, (4), pp. 310324.
    19. 19)
      • 12. Khan, S., Xekalakis, P., Cavazos, J., et al: ‘Using predictive modeling for cross-program design space exploration in multi-core systems’. Proc. Int. Conf. Parallel Architecture and Compilation Techniques, Brasov, Romania, September 2007, pp. 327338.
    20. 20)
      • 13. Karkhanis, T.S., Smith, J.E.: ‘A first-order superscalar processor model’, ACM SIGARCH Comput. Archit. News, 2004, 32, (2), p. 338.
    21. 21)
      • 9. Ïpek, E., McKee, S.A., Caruana, R., et al: ‘Efficiently exploring architectural design spaces via predictive modeling’, ACM SIGOPS Oper. Syst. Rev., 2006, 40, (5), pp. 195206.
    22. 22)
      • 23. Zhong, Y., Shen, X., Ding, C.: ‘Program locality analysis using reuse distance’, ACM Trans. Program. Lang. Syst., 2009, 31, (6), p. 20.
    23. 23)
      • 5. Joseph, P.J., Vaswani, K., Thazhuthaveetil, M.J.: ‘Construction and use of linear regression models for processor performance analysis’. Proc. Int. Symp. High-Performance Computer Architecture, Austin, USA, February 2006, pp. 99108.
    24. 24)
      • 20. Bird, S., Phansalkar, A., John, L.K., et al: ‘Performance characterization of SPEC CPU benchmarks on Intel's core micro-architecture based processor’. SPEC Benchmark Workshop, January 2007, pp. 17.
    25. 25)
      • 2. Wunderlich, R.E., Wenisch, T.F., Falsafi, B., et al: ‘SMARTS: accelerating micro-architecture simulation via rigorous statistical sampling’. Proc. Int. Symp. Computer Architecture, San Diego, USA, June 2003, pp. 8495.
    26. 26)
      • 8. Li, B., Peng, L., Ramadass, B.: ‘Accurate and efficient processor performance prediction via regression tree based modeling’, J. Syst. Archit., 2009, 55, (10), pp. 457467.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2016.0148
Loading

Related content

content/journals/10.1049/iet-cdt.2016.0148
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address