Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Analysis of the reconfiguration latency and energy overheads for a Xilinx Virtex-5 field-programmable gate array

In this study, the authors have evaluated the overhead and the tradeoffs of a set of components usually included in a system with run-time partial reconfiguration implemented on a Xilinx Virtex-5. The authors’ analysis shows the benefits of including a scratchpad memory inside the reconfiguration controller in order to improve the efficiency of the reconfiguration process. They have designed a simple controller for this scratchpad that includes support for prefetching and caching in order to further reduce both the energy and latency overhead.

References

    1. 1)
      • 33. Bonamy, R., Chillet, D., Bilavarn, S., et al: ‘Power consumption model for partial and dynamic reconfiguration’. Int. Conf. Reconfigurable Computing and FPGAs, 2012.
    2. 2)
      • 3. Paulsson, K., Hübner, M., Becker, J.: ‘Dynamic power optimization by exploiting self- reconfiguration in Xilinx Spartan 3-based systems’, Microprocess. Microsyst., 2009, 33, (1), pp. 4652.
    3. 3)
      • 36. Yokogawa WT210/WT230 Digital Power Meters, available at http://tmi.yokogawa.com/discontinued-products/digital-power-analyzers/digital-power-analyzers/wt210wt230-digital-power-meters/.
    4. 4)
      • 6. Singh, H., Lee, M.H., Lu, G., et al: ‘Morphosys: an integrated reconfigurable system for data-parallel and computation-intensive applications’, IEEE Trans. Comput., 2000, 49, (5), pp. 465481.
    5. 5)
      • 15. Dandalis, A., Prasanna, V.K.: ‘Configuration compression for FPGA-based embedded systems’. Proc. of the ACM/SIGDA Int. Symp. on Field Programmable Gate Arrays (FPGA), New York, NY, USA, 2001, pp. 173182.
    6. 6)
      • 10. Qu, Y., Pekka Soininen, J., Nurmi, J.: ‘A parallel configuration model for reducing the run-time reconfiguration overhead’. IEEE Proc. of the Design, Automation, and Test in Europe Conf. (DATE), 2006, pp. 965970.
    7. 7)
      • 22. El-Araby, E., Gonzalez, I., El-Ghazawi, T.: ‘Exploiting partial runtime reconfiguration for high-performance reconfigurable computing’, ACM Trans. Reconfigurable Technol. Syst., 2009, 1, (4), pp. 21:121:23.
    8. 8)
      • 28. Virtex-5 FPGA Configuration Guide UG191 (v3.11) October 19, 2012, available at www.xilinx.com/support/documentation/user_guides/ug191.pdf.
    9. 9)
      • 29. Xilinx DS86 LogiCORE IP XPS HWICAP. June, 2011, available at https://www.xilinx.com/support/documentation/ip_documentation/xps_hwicap/v5_01_a/xps_hwicap.pdf.
    10. 10)
      • 16. Clemente, J.A., Perez Ramo, E., Resano, J., et al: ‘Configuration mapping algorithms to reduce energy and time reconfiguration overheads in reconfigurable systems’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2014, 22, (6), pp. 12481261.
    11. 11)
      • 27. Gimle, S., Koch, D., Torresen, J.: ‘High speed partial run-time reconfiguration using enhanced ICAP hard macro’. IEEE Int. Parallel & Distributed Processing Symp., 2011, 16–20 May 2011, pp. 174180.
    12. 12)
      • 9. Noguera, J., Badia, R.M.: ‘Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling’, ACM Trans. Embedded Comput. Syst., 2004, 3, (2), pp. 385406.
    13. 13)
      • 18. Kalra, R., Lysecky, R.: ‘Configuration locking and schedulability estimation for reduced reconfiguration overheads of reconfigurable systems’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2010, 18, (4), pp. 671674.
    14. 14)
      • 12. Fu, W., Compton, K.: ‘Scheduling intervals for reconfigurable computing’. Proc. of the IEEE Int. Symp. on Field-Programmable Custom Computing Machines (FCCM), 2008, pp. 8796.
    15. 15)
      • 37. Interuniversitair Micro-Electronica Centrum, available at https://www.imec-int.com/.
    16. 16)
      • 25. Ming, L., Kuehn, W., Zhonghai, L., et al: ‘Run-time partial reconfiguration speed investigation and architectural design space exploration’. Int. Conf. Field Programmable Logic and Applications, 2009, FPL 2009, 31 August 2009–2 September 2009, pp. 498502.
    17. 17)
      • 1. Becker, T., Luk, W., Cheung, P.Y.K.: ‘Energy-aware optimization for run-time reconfiguration’. Field-Programmable Custom Computing Machines, 2010, pp. 5562.
    18. 18)
      • 5. Hartenstein, R.: ‘A decade of reconfigurable computing: a visionary retrospective’. Proc. of the Conf. Design, Automation and Test in Europe (DATE), 2001, pp. 642649.
    19. 19)
      • 35. Nafkha, A., Louet, Y.: ‘Accurate measurement of power consumption overhead during FPGA dynamic partial reconfiguration’. Int. Symp. on Wireless Communication Systems (ISWCS), 2016.
    20. 20)
      • 32. XPS SYSACE (System ACE) Interface Controller (v1.01a) December 2, 2009, available at www.xilinx.com/support/documentation/ip_documentation/xps_sysace.pdf.
    21. 21)
      • 26. Bonamy, R., Hung-Manh, P., Pillement, S., et al: ‘UPaRC—ultra-fast power-aware reconfiguration controller’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), 2012, 12–16 March 2012, pp. 13731378.
    22. 22)
      • 2. Liu, S., Pittman, R.N., Forin, A., et al: ‘Achieving energy efficiency through runtime partial reconfiguration on reconfigurable systems’, ACM Trans. Embed. Comput. Syst., 2013, 12, (3), pp. 72:172:21.
    23. 23)
      • 21. Papadimitriou, K., Anyfantis, A., Dollas, A.: ‘An effective framework to evaluate dynamic partial reconfiguration in FPGA systems’, IEEE Trans. Instrum. Meas., 2010, 59, (6), pp. 16421651.
    24. 24)
      • 13. Clemente, J., Resano, J., Gonzalez, C., et al: ‘A hardware implementation of a run-time scheduler for reconfigurable systems’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2011, 19, (7), pp. 12631276.
    25. 25)
      • 19. Clemente, J.A., Resano, J., Mozos, D.: ‘An approach to manage reconfigurations and reduce area cost in hard real-time reconfigurable systems’, ACM Trans. Embedded Comput. Syst., 2014, 13, (4), pp. 90:190:24.
    26. 26)
      • 14. Li, Z., Hauck, S.: ‘Configuration compression for virtex FPGAs’. Proc. of the Annual IEEE Symp. on Field-Programmable Custom Computing Machines (FCCM), 2001, pp. 147159.
    27. 27)
      • 8. Li, Z., Hauck, S.: ‘Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation’. Proc. of the ACM/SIGDA Int. Symp. Field-Programmable Gate Arrays (FPGA), 2002, pp. 187195.
    28. 28)
      • 11. Sim, J., Wong, W.-F., Walla, G., et al: ‘Interprocedural placement-aware configuration prefetching for FPGA-based systems’. IEEE Annual Int. Symp. on Field-Programmable Custom Computing Machines (FCCM), May 2010, pp. 179182.
    29. 29)
      • 20. Papadimitriou, K., Dollas, A., Hauck, S.: ‘Performance of partial reconfiguration in FPGA systems: a survey and a cost model’, ACM Trans. Reconfigurable Technol. Syst., 2011, 4, (4), pp. 36:136:24.
    30. 30)
      • 23. Vipin, K., Fahmy, S.A.: ‘A high speed open source controller for FPGA partial reconfiguration’. Proc. of the Int. Conf. Field Programmable Technology (FPT), Seoul, Korea, December 2012, pp. 6166.
    31. 31)
      • 24. Liu, S., Pittman, N., Forin, A.: ‘Minimizing partial reconfiguration overhead with fully streaming DMA engines and intelligent ICAP controller’, Microsoft Research, MSR-TR-2009-150, 133.
    32. 32)
      • 34. Stenström, P.: ‘Transactions on high-performance embedded architectures and compilers IV’, Lecture Notes in Computer Science, vol. 6760 (Springer-Verlag, Heidelberg, 2011).
    33. 33)
      • 17. Li, Z., Compton, K., Hauck, S.: ‘Configuration caching management techniques for reconfigurable computing’. Proc. of the annual IEEE Symp. on Field-Programmable Custom Computing Machines (FCCM), 2000, pp. 2236.
    34. 34)
      • 30. Xilinx DS531 Processor Local Bus (PLB) v4.6 (v1.05a). September 2010, available at http://www.xilinx.com/support/documentation/ip_documentation/plb_v46.pdf.
    35. 35)
      • 4. Lehn, D.I., Puttegowda, K., Park, J.H., et al: ‘Evaluation of rapid context switching on a CSRC device’. Proc. of the Int. Conf. of Engineering of Reconfigurable Systems and Algorithms (ERSA), 2002, pp. 209215.
    36. 36)
      • 7. Beeck, P., Barat, F., Jayapala, M., et al: ‘Crisp: a template for reconfigurable instruction set processors’. Int. Conf. Field Programmable Logic (FPL), 2001, pp. 296305.
    37. 37)
      • 31. Virtex UltraScale+ Product Table, available at https://www.xilinx.com/products/silicon-devices/fpga/virtex-ultrascale-plus.html#productTable.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2016.0095
Loading

Related content

content/journals/10.1049/iet-cdt.2016.0095
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address