access icon free Low-cost security aware HLS methodology

Owing to massive complexity of modern digital integrated circuits (ICs) disabling complete in-house development, globalisation of the design process establishes itself as an inevitable solution for faster and efficient design. However, globalisation incurs importing intellectual property (IP) cores from various third party vendors, rendering an IP susceptible to hardware threats. To provide trust and security in digital ICs within user constraints, design of a low-cost optimised dual modular redundant, through Trojan secured high-level synthesis (HLS) methodology, is crucial. This study presents exploration of a low-cost optimised HLS solution capable of handling hardware Trojan (providing security) that alters computational output. The key contributions of the study are as: (i) novel low-cost security-aware HLS approach; (ii) novel encoding for representing bacterium in the design space (comprising of candidate datapath resource configuration and vendor allocation information for Trojan secured solution); and (iii) novel exploration process of an efficient vendor allocation procedure that assists in yielding a low-cost Trojan secured schedule. Experimental results indicate significant reduction in the cost of security-aware HLS solution (82.4%) through the proposed approach compared with a recent approach.

Inspec keywords: invasive software; scheduling; integrated circuit design; encoding; high level synthesis; resource allocation; industrial property

Other keywords: digital integrated circuits; low-cost optimised dual modular redundant design; low-cost Trojan secured schedule; third party vendors; intellectual property cores; vendor allocation procedure exploration process; hardware threats; design space; low-cost security-aware HLS approach; IC; candidate datapath resource configuration; design process globalisation; low-cost security aware HLS methodology; user constraints; Trojan secured high-level synthesis methodology; encoding; IP cores; vendor allocation information

Subjects: Electronic engineering computing; Digital circuit design, modelling and testing; Data security

References

    1. 1)
      • 3. Zhang, X., Tehranipoor, M.: ‘Case study: detecting hardware Trojans in third-party digital IP cores’. Proc. of the IEEE Symp. on Hardware-Oriented Security and Trust (HOST), 2011, pp. 6770.
    2. 2)
      • 37. Mohanty, S.P., Ranganathan, N., Kougianos, E., et al: ‘Low-power high-level synthesis for nanoscale CMOS circuits’ (Springer Science & Business Media, USA, 2008).
    3. 3)
      • 18. Schafer, B.: ‘Hierarchical high-level synthesis design space exploration with incremental exploration support’, IEEE Embedded Syst. Lett., 2015, 7, (2), pp. 5154.
    4. 4)
      • 12. Lin, L., Burleson, W., Paar, C.: ‘MOLES: malicious off-chip leakage enabled by side-channels’. IEEE/ACM Int. Conf. on Computer-Aided Design – Digest of Technical Papers, November 2009, pp. 117122.
    5. 5)
      • 38. Sengupta, A., Sedaghat, R., Zeng, Z.: ‘A high level synthesis design flow with a novel approach for efficient design space exploration in case of multi-parametric optimization objective’, Microelectron. Reliab., 2010, 50, (3), pp. 424437.
    6. 6)
      • 25. Micheli, G.D.: ‘Synthesis and optimization of digital circuits’ (McGraw-Hill Higher Education, USA, 1994, 1st edn.).
    7. 7)
      • 1. Karri, R., Rajendran, J., Rosenfeld, K., et al: ‘Trustworthy hardware: identifying and classifying hardware Trojans’, Computer, 2010, 43, (10), pp. 3946.
    8. 8)
      • 21. Coussy, P., Gajski, D., Meredith, M., et al: ‘An introduction to high-level synthesis’, IEEE Des. Test Comput., 2009, 26, (4), pp. 817.
    9. 9)
      • 8. Wang, X., Salmani, H., Tehranipoor, M., et al: ‘Hardware Trojan detection and isolation using current integration and localized current analysis’. Proc. of the IEEE Int. Symp. on Defect and Fault Tolerance of VLSI Systems (DFTVS), 2008, pp. 8795.
    10. 10)
      • 34. Passino, K.: ‘Biomimicry of bacterial foraging for distributed optimization and control’, IEEE Control Syst., 2002, 22, (3), pp. 5267.
    11. 11)
      • 16. Xydis, S., Palermo, G., Zaccaria, V., et al: ‘SPIRIT: spectral-aware Pareto iterative refinement optimization for supervised high-level synthesis’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2015, 34, (1), pp. 155159.
    12. 12)
      • 7. Cui, X., Ma, K., Shi, L., et al: ‘High-level synthesis for run-time hardware Trojan detection and recovery’. Proc. of the 51st ACM/IEEE on Design Automation Conf. (DAC), 2014, pp. 16.
    13. 13)
      • 30. Reynders, N., Dehaene, W.: ‘A 190 mV supply, 10 MHz, 90 nm CMOS, pipelined sub-threshold adder using variation resilient circuit techniques’. Proc. of the IEEE Asian Solid State Circuits Conf. (A-SSCC), 2011, pp. 113116.
    14. 14)
      • 14. Xydis, S., Pekmestzi, K., Soudris, D., et al: ‘Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offs’, ACM Trans. Des. Autom. Electron. Syst., 2013, 18, (1), pp. 11:111:35.
    15. 15)
      • 17. Liu, H.-Y., Carloni, L.: ‘On learning-based methods for design-space exploration with high-level synthesis’. Proc. of the 50th ACM/IEEE DAC, 2013, pp. 17.
    16. 16)
      • 32. Kumar, A.K., Somasundareswari, D., Duraisamy, V., et al: ‘Low power multiplier design using complementary pass-transistor asynchronous adiabatic logic’, Int. J. Comput. Sci. Eng., 2010, 2, (7), pp. 22912297.
    17. 17)
      • 11. Ali, S.S., Chakraborty, R.S., Mukhopadhyay, D., et al: ‘Multi-level attacks: an emerging security concern for cryptographic hardware’. Design, Automation Test in Europe, March 2011, pp. 14.
    18. 18)
      • 26. Ferrandi, F., Lanzi, P.L., Loiacono, D., et al: ‘A multi-objective genetic algorithm for design space exploration in high-level synthesis’. Proc. on IEEE Computer Society Annual Symp. on VLSI (ISVLSI), 2008, pp. 417422.
    19. 19)
      • 39. [Online]. Available at http://www.express.ece.ucsb.edu/benchmark/.
    20. 20)
      • 31. Crop, J., Fairbanks, S., Pawlowski, R., et al: ‘150 mV sub-threshold asynchronous multiplier for low-power sensor applications’. Proc. of the Int. Symp. on VLSI Design Automation and Test (VLSI-DAT), 2010, pp. 254257.
    21. 21)
      • 22. Mohanty, S.P.: ‘Nanoelectronic mixed-signal system design’ (McGraw-Hill Education, USA, 2015).
    22. 22)
      • 23. Mohanty, S.P., Ranganathan, N., Chappidi, S.K.: ‘Peak power minimization through datapath scheduling’. Proc. of the IEEE Computer Society Annual Symp. on VLSI, 2003, pp. 121126.
    23. 23)
      • 20. Mohanty, S.P., Ranganathan, N., Krishna, V.: ‘Datapath scheduling using dynamic frequency clocking’. Proc. of the IEEE Computer Society Annual Symp. on VLSI, 2002, pp. 5863.
    24. 24)
      • 40. Sengupta, A., Bhadauria, S.: ‘Untrusted third party digital IP cores: power-delay trade-off driven exploration of hardware Trojan secured datapath during high level synthesis’. Proc. of the 25th Great Lakes Symp. on VLSI, 2015, pp. 167172.
    25. 25)
      • 15. Gupta, S., Savoiu, N., Dutt, N., et al: ‘Using global code motions to improve the quality of results for high-level synthesis’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2004, 23, (2), pp. 302312.
    26. 26)
      • 10. Saha, S., Chakraborty, R.S., Nuthakki, S.S., et al: ‘Cryptographic hardware and embedded systems – CHES 2015’. Proc. 17th Int. Workshop, Saint-Malo, France, 13–16 September 2015, Berlin, Heidelberg, 2015, pp. 577596, ch. Improved test pattern generation for hardware Trojan detection using genetic algorithm and Boolean satisfiability.
    27. 27)
      • 5. Jin, Y., Makris, Y.: ‘Hardware Trojan detection using path delay fingerprint’. Proc. of the IEEE Symp. on Hardware-Oriented Security and Trust, 2008, pp. 5157.
    28. 28)
      • 33. Gajski, D.D., Dutt, N.D., Wu, A.C.-H., et al: ‘High-level synthesis: introduction to chip and system design’ (Kluwer Academic Publishers, USA, 1992).
    29. 29)
      • 36. Deb, K.: ‘Multi-objective optimization using evolutionary algorithms’ (John Wiley & Sons, Inc., USA, 2001).
    30. 30)
      • 29. Gallagher, J., Vigraham, S., Kramer, G.: ‘A family of compact genetic algorithms for intrinsic evolvable hardware’, IEEE Trans. Evol. Comput., 2004, 8, (2), pp. 111126.
    31. 31)
      • 28. Ram, D.S.H., Bhuvaneswari, M.C., Prabhu, S.S.: ‘A novel framework for applying multiobjective GA and PSO based approaches for simultaneous area, delay, and power optimization in high level synthesis of datapaths’. VLSI Design, 2012, vol. 2012.
    32. 32)
      • 27. Zeng, Z., Sedaghat, R., Sengupta, A.: ‘A framework for fast design space exploration using fuzzy search for VLSI computing architectures’. Proc. of the IEEE Int. Symp. on Circuits and Systems (ISCAS), 2010, pp. 31763179.
    33. 33)
      • 24. Bhadauria, S., Sengupta, A.: ‘Adaptive bacterial foraging driven datapath optimization: exploring power-performance tradeoff in high level synthesis’, Appl. Math. Comput., 2015, 269, pp. 265278.
    34. 34)
      • 35. Liu, Y., Passino, K.: ‘Biomimicry of social foraging bacteria for distributed optimization: models, principles, and emergent behaviors’, J. Optim. Theory Appl., 2002, 115, (3), pp. 603628.
    35. 35)
      • 6. Agrawal, D., Baktir, S., Karakoyunlu, D., et al: ‘Trojan detection using IC fingerprinting’. Proc. of the IEEE Symp. on Security and Privacy, 2007, pp. 296310.
    36. 36)
      • 13. Schafer, B., Wakabayashi, K.: ‘Design space exploration acceleration through operation clustering’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2010, 29, (1), pp. 153157.
    37. 37)
      • 9. Narasimhan, S., Du, D., Chakraborty, R., et al: ‘Multiple-parameter side-channel analysis: a non-invasive hardware Trojan detection approach’. Proc. of the IEEE Symp. on HOST, 2010, pp. 1318.
    38. 38)
      • 2. Banga, M., Hsiao, M.: ‘A novel sustained vector technique for the detection of hardware Trojans’. Proc. of the 22nd VLSI Design Conf., 2009, pp. 327332.
    39. 39)
      • 19. Krishnan, V., Katkoori, S.: ‘A genetic algorithm for the design space exploration of datapaths during high-level synthesis’, IEEE Trans. Evol. Comput., 2006, 10, (3), pp. 213229.
    40. 40)
      • 4. Rajendran, J., Zhang, H., Sinanoglu, O., et al: ‘High-level synthesis for security and trust’. Proc. of the IEEE 19th Int. On-Line Testing Symp. (IOLTS), 2013, pp. 232233.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2016.0014
Loading

Related content

content/journals/10.1049/iet-cdt.2016.0014
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading