access icon free Static test compaction for circuits with multiple independent scan chains

This study describes a static test compaction procedure for transition faults in circuits with multiple scan chains where each scan chain can operate independently in functional or shift mode. The procedure mixes parts of different broadside and skewed-load tests, where the parts coincide with the scan chains, in order to create new tests that detect more faults. This allows the number of tests to be reduced without reducing the fault coverage. By mixing parts of tests with different types, different scan chains are assigned different modes of operation within the same test. Experimental results are presented to demonstrate that this allows the number of tests to be reduced below the number of tests in a compact test set that consists of broadside and skewed-load tests.

Inspec keywords: circuit testing; fault diagnosis

Other keywords: independent scan chains; skewed-load tests; transition faults; fault coverage; broadside tests; circuit static test compaction procedure; shift mode; functional mode

Subjects: Digital circuit design, modelling and testing

References

    1. 1)
    2. 2)
      • 5. Park, I., McCluskey, E.J.: ‘Launch-on-shift-capture transition tests’. Proc. Int. Test Conf., 2008, pp. 19.
    3. 3)
    4. 4)
    5. 5)
      • 16. Alampally, S., Venkatesh, R.T., Shanmugasundaram, P., Parekhji, R.A., Agrawal, V.D.: ‘An efficient test data reduction technique through dynamic pattern mixing across multiple fault models’. Proc. VLSI Test Symp., 2011, pp. 285290.
    6. 6)
      • 12. Lee, K.-J., Chen, J.J., Huang, C.H.: ‘Using a single input to support multiple scan chains’. Proc. Int. Conf. on Computer-Aided Design, 1998, pp. 7478.
    7. 7)
      • 17. Tenentes, V., Kavousianos, X.: ‘Test-data volume and scan-power reduction with low ATE interface for multi-core SoCs’. Proc. Int. Conf. on Computer-Aided Design, 2011, pp. 747754.
    8. 8)
      • 8. Reddy, L.N., Pomeranz, I., Reddy, S.M.: ‘ROTCO: a reverse order test compaction technique’. Proc. EURO-ASIC, 1992, pp. 189194.
    9. 9)
      • 18. Chandra, A., Saikia, J., Kapur, R.: ‘Breaking the test application time barriers in compression: adaptive scan-cyclical (AS-C)’. Proc. Asian Test Symp., 2011, pp. 432437.
    10. 10)
    11. 11)
      • 11. Koenemann, B.: ‘LFSR-coded test patterns for scan designs’. Proc. European Test Conf., 1991, pp. 237242.
    12. 12)
    13. 13)
      • 13. Barnhart, C., Brunkhorst, V., Distler, F., Farnsworth, O., Keller, B., Koenemann, B.: ‘OPMISR: the foundation for compressed ATPG vectors’. Proc. Int. Test Conf., 2001, pp. 748757.
    14. 14)
      • 19. Lin, X., Rajski, J.: ‘On utilizing test cube properties to reduce test data volume further’. Proc. Asian Test Symp., 2012, pp. 8388.
    15. 15)
      • 14. Rajski, J., Tyszer, J., Kassab, M., et al: ‘Embedded deterministic test for low cost manufacturing test’. Proc. Int. Test Conf., 2002, pp. 301310.
    16. 16)
      • 4. Wang, S., Liu, X., Chakradhar, S.T.: ‘Hybrid delay scan: a low hardware overhead scan based delay test technique for high fault coverage and compact test sets’. Proc. Design Automation and Test in Europe Conf., 2004, pp. 12961301.
    17. 17)
    18. 18)
      • 7. Pomeranz, I.: ‘Static test compaction for delay fault test sets consisting of broadside and skewed-load tests’. Proc. VLSI Test Symp., 2011, pp. 8489.
    19. 19)
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2014.0191
Loading

Related content

content/journals/10.1049/iet-cdt.2014.0191
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading