access icon free Extending standard cell library for aging mitigation

Transistor aging, mostly due to bias temperature instability (BTI), is one of the major unreliability sources at nano-scale technology nodes. BTI causes the circuit delay to increase and eventually leads to a decrease in the circuit lifetime. Typically, standard cells in the library are optimised according to the design time delay; however, because of the asymmetric effect of BTI, the rise and fall delays might become significantly imbalanced over the lifetime. In this study, the BTI effect is mitigated by balancing the rise and fall delays of the standard cells at the excepted lifetime. The authors find an optimal tradeoff between the increase in the library size and the lifetime improvement by non-uniform extension of the library cells for various ranges of the input signal probabilities. The simulation results reveal that this technique can prolong the circuit lifetime by around 150% with a negligible area overhead. Moreover, the effect of different realistic workloads on the distribution of internal node signal probabilities is investigated. This is done to obtain the sensitivity of the proposed static (design time) approach to different workloads during system lifetime. The results show that the proposed approach is still efficient if the workload changes during the runtime.

Inspec keywords: transistors

Other keywords: circuit delay; timing margin reduction; BTI; realistic workloads; internal node signal probabilities; extending standard cell library; nonuniform extension; aging mitigation; input signal probabilities; nanoscale technology nodes; transistor aging; bias temperature instability; standard cells

Subjects: Semiconductor devices

References

    1. 1)
    2. 2)
      • 23. Nangate’, http://www.nangate.com/.
    3. 3)
      • 24. Firouzi, F., Kiamehr, S., Tahoori, M., et al: ‘Incorporating the impacts of workload-dependent runtime variations into timing analysis’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), 2013, pp. 10221025.
    4. 4)
      • 4. Zafar, S., Kim, Y., Narayanan, V., et al: ‘A comparative study of NBTI and PBTI (charge trapping) in sio2/hfo2 stacks with FUSI, TiN, Re gates’. IEEE Digest of Technical Papers, 2006, VLSI Technology.
    5. 5)
      • 17. Rabaey, J.M., Chandrakasan, A.P., Nikolic, B.: ‘Digital integrated circuits’ (Prentice-Hall, Englewood Cliffs, 2002, vol. 2).
    6. 6)
      • 19. Kang, K., Kufluoglu, H., Alain, M., et al: ‘Efficient transistor-level sizing technique under temporal performance degradation due to NBTI’. Int. Conf. on Computer Design (ICCD), 2007, pp. 216221.
    7. 7)
      • 10. Zhang, L., Dick, R.P.: ‘Scheduled voltage scaling for increasing lifetime in the presence of NBTI’. Asia and South Pacific Design Automation Conf. (ASP-DAC), 2009, pp. 492497.
    8. 8)
      • 20. Basu, S., Vemuri, R.: ‘Process variation and NBTI tolerant standard cells to improve parametric yield and lifetime of ICS’. IEEE Computer Society Annual Symp. on VLSI, 2007, pp. 291298.
    9. 9)
    10. 10)
    11. 11)
      • 8. Calimera, A., Macii, E., Poncino, M.: ‘NBTI-aware clustered power gating’, ACM Trans. Des. Autom. Electron. Syst. (TODAES), 2010, 16, (1), p. 3.
    12. 12)
      • 15. Wang, Y., Chen, X., Wang, W., et al: ‘On the efficacy of input vector control to mitigate NBTI effects and leakage power’. Int. Symp. on Quality Electronic Design (ISQED), 2009, pp. 1926.
    13. 13)
      • 16. Firouzi, F., Kiamehr, S., Tahoori, M.B.: ‘NBTI mitigation by optimized nop assignment and insertion’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), 2012, pp. 218223.
    14. 14)
      • 18. Bhardwaj, S., Wang, W., Vattikonda, R., et al: ‘Predictive modeling of the NBTI effect for reliable design’. Custom Integrated Circuits Conf., 2006, pp. 189192.
    15. 15)
      • 9. Oboril, F., Tahoori, M.B.: ‘Extratime: modeling and analysis of wearout due to transistor aging at microarchitecture-level’. 42ndAnnual IEEE/IFIP Int. Conf. on Dependable Systems and Networks (DSN), 2012, 2012, pp. 112.
    16. 16)
      • 21. da Silva, M.B., Camargo, V.V., Brusamarello, L., et al: ‘NBTI-aware technique for transistor sizing of high-performance CMOS gates’. IEEE Latin-American Test Workshop (LATW), 2009, pp. 15.
    17. 17)
    18. 18)
      • 1. Fang, J., Gupta, S., Kumar, S.V., et al: ‘Circuit reliability: from physics to architectures’. Proc. Int. Conf. on Computer-Aided Design, ACM, 2012, pp. 243246.
    19. 19)
      • 12. Reddy, V., Carulli, J.M., Krishnan, A.T., et al: ‘Impact of negative bias temperature instability on product parametric drift’. Int. Test Conf. (ITC), Citeseer, 2004, pp. 148155.
    20. 20)
      • 22. Kiamehr, S., Firouzi, F., Ebrahimi, M., et al: ‘Aging-aware standard cell library design’. Proc. Conf. on Design, Automation & Test in Europe, 2014, p. 261.
    21. 21)
    22. 22)
      • 14. Oboril, F., Tahoori, M.: ‘MTTF-balanced pipeline design’. Design, Automation & Test in Europe Conf. & Exhibition (DATE), 2013, pp. 16.
    23. 23)
      • 11. Basoglu, M., Orshansky, M., Erez, M.: ‘NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime’. Proc. 16th ACM/IEEE Int. Symp. on Low Power Electronics and Design, 2010, pp. 253258.
    24. 24)
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2014.0142
Loading

Related content

content/journals/10.1049/iet-cdt.2014.0142
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading