Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Optimisation of test architecture in three-dimensional stacked integrated circuits for partial stack/complete stack using hard system-on-chips

Three-dimensional stacked integrated circuits (3D SICs) are currently evolving as an area of great interest in modern semiconductor industry. Several partial stack tests are required during three-dimensional assembly because the die stacking steps and bonding may introduce defects. In this study, the authors have addressed test architecture optimisation for 3D SICs implemented with hard dies under through-silicon-via constraints. The main objective of their algorithm is to minimise test time either for testing of a complete stack or complete stack and several partial stacks. Experimental results are performed for three different handcrafted 3D SICs comprising several system-on-chips (SOCs) from International Test Conference 2002 (ITC'02) SOC test benchmarks. In this study, they have considered that the die level test architecture is fixed and each die consists of one SOC. The test length for multiple test insertions as well as the final complete stack are also shown.

References

    1. 1)
      • 14. Noia, B., Chakrabarty, K., Marinissen, E.J.: ‘Optimization methods for post-bond die-internal/external testing in 3D stacked ICs’. IEEE Int.Test Conf. (ITC), 2010, pp. 19.
    2. 2)
      • 22. Noia, B., Chakrabarty, K.: ‘Identification of defective TSVs in pre-bond testing of 3DICs’. Proc. IEEE Asian Test Symp., 2011, pp. 187194.
    3. 3)
      • 8. Jiang, L., Huang, L., Xu, Q.: ‘test architecture design and optimization for three-dimensional SOCs’. Proc. Design, Automation and Test in Europe, 2009, pp. 220225.
    4. 4)
    5. 5)
      • 11. Jiang, L., Xu, Q., Chakrabarty, K., Mak, T.M.: ‘Layout driven test-architecture design and optimization for 3D SOCs under pre-bond test-pin-count constraint’. Proc. IEEE Int. Conf. on Computer-Aided Design, 2009, pp. 191196.
    6. 6)
    7. 7)
      • 21. Li, J., Xu, Q., Eklow, B.: ‘On effective TSV repair for 3D-stacked ICs’. Proc. DATE, 2012, pp. 793798.
    8. 8)
      • 18. Roy, S.K., Giri, C., Rahaman, H.: ‘Optimization of test architecture in 3D stacked IC for partial stack/complete stack using hard die’. Proc. IDT, December 2012.
    9. 9)
      • 10. Noia, B., Chakrabarty, K., Xie, Y.: ‘Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs’. IEEE Int. Conf. on Computer Design, 2009, pp. 7077.
    10. 10)
      • 6. Giri, C., Roy, S.K., Banerjee, B., Rahaman, H.: ‘Scan chain design targeting dual power and delay optimization for 3D integrated circuits’. Proc. IEEE Int. Conf. on Advanced Computing, Communication and Technology (ACT), 2009, pp. 845849.
    11. 11)
    12. 12)
      • 9. Roy, S.K., Ghosh, S., Rahaman, H., Giri, C.: ‘Test wrapper design for 3D system-on-chip using optimized number of TSVs’. IEEE Intl. Symp. on Electronic System Design, 2010, pp. 197202.
    13. 13)
      • 3. Weerasekera, R., Zheng, L.R., Pamunuwa, D., Tenhunen, H.: ‘Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs’. Int. Conf. on Computer-Aided Design, 2007, pp. 212219.
    14. 14)
    15. 15)
      • 7. Wu, X., Chen, Y., Chakrabarty, K., Xie, Y.: ‘Test access mechanism optimization for core-based three dimensional SOCs’. Proc. IEEE ICCD, 2008, pp. 212218.
    16. 16)
      • 5. Loh, H., Xie, Y., Black, B.: ‘Processor design in 3D die-stacking technologies’. Proc. IEEE Micro, 2007, Vol. 27, pp. 3148.
    17. 17)
      • 13. Noia, B., Goel, S.K., Chakrabarty, K., Marinissen, E.J., Verbree, J.: ‘Test architecture optimization for TSV based 3D-stacked ICs’. Proc. 15th IEEE ETS, 2010, pp. 2429.
    18. 18)
      • 19. Lewis, D., Lee, H.S.: ‘A scan-island based design enabling prebond testability in die-stacked microprocessors’. Proc. Int. Test Conf. (ITC), 2007, pp. 18.
    19. 19)
    20. 20)
      • 17. Roy, S.K., Roy, D., Giri, C., Rahaman, H.: ‘Testing 3D stacked ICs for post-bond partial/complete stack’. IEEE MWSCAS, 2012, pp. 522525.
    21. 21)
      • 4. Lee, H.S., Chakrabarty, K.: ‘Test challenges for 3D integrated circuits’, IEEE Design Test Comput., Special Issue on 3D IC Design and Test, 2009, pp. 2635.
    22. 22)
      • 12. Marinissen, E.J., Verbree, J., Konijnenburg, M.: ‘A structured and scalable test access architecture for TSV-based 3D stacked ICs’. VLSI Test Symp., 2010.
    23. 23)
      • 20. Li, J., Xiang, D.: ‘DfT optimization for pre-bond testing of 3D-SICs containing TSVs’. Proc. Int. Conf. on Computer Design (ICCD), 2010, pp. 474479.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2014.0137
Loading

Related content

content/journals/10.1049/iet-cdt.2014.0137
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address