access icon openaccess Coarse-grained reconfiguration: dataflow-based power management

Power reduction in modern embedded systems design is a challenging issue exacerbated by the complexity and heterogeneity of their architecture. In the field of Reconfigurable Video Coding (RVC), to challenge these issues and cut-down time to market, dataflow-based techniques have been adopted. In particular, to master management and composability of dynamically reconfigurable systems, the authors have developed the multi-dataflow composer. Nevertheless, despite the RVC offers several different tools, in its reference design framework power management is still an open issue. To make some steps forward towards filling this gap, in this study, they address power management for coarse-grained reconfigurable systems combining structural and dynamic strategies, both to be applied at the dataflow level.

Inspec keywords: data flow computing; video coding; power aware computing; embedded systems

Other keywords: architecture complexity; embedded systems design; coarse-grained reconfiguration; RVC; dataflow-based power management; master management; dynamic strategy; architecture heterogeneity; power reduction; multidataflow composer; structural strategy; reference design framework power management; reconfigurable video coding; reconfigurable system composability; coarse-grained reconfigurable systems

Subjects: Image and video coding; Environmental aspects of computing; Video signal processing; Parallel software; Performance evaluation and testing

References

    1. 1)
      • 21. Ren, R., Wei, J., Martnez, E.J., et al: ‘A pmc-driven methodology for energy estimation in rvc-cal video codec specifications’, J. Image Commun., 2013, 28, (10), pp. 13031314.
    2. 2)
      • 14. Eker, J., Janneck, J.W.: ‘Cal language report specification of the cal actor language’. Technology Report, EECS Department, University of California, Berkeley, 2003.
    3. 3)
    4. 4)
      • 5. Palumbo, F., Carta, N., Raffo, L.: ‘The multi-dataflow composer tool: A runtime reconfigurable hdl platform composer’. Conf. on Design and Architectures for Signal and Image Processing, 2011.
    5. 5)
      • 32. Palesi, M., Givargis, T.: ‘Multi-objective design space exploration using genetic algorithms’. Symp. on Hardware/Software Co-Design, 2002.
    6. 6)
      • 8. Carta, N., Sau, C., Pani, D., Palumbo, F., Raffo, L.: ‘A coarse-grained reconfigurable approach for low-power spike sorting architectures’. IEEE/EMBS Conf. on Neural Engineering, 2013.
    7. 7)
      • 27. Benini, L., Micheli, G.d.: ‘Dynamic power management: design techniques and CAD Tools’ (Kluwer Academic Publishers, 1998).
    8. 8)
      • 31. Palermo, G., Silvano, C., Zaccaria, V.: ‘Multi-objective design space exploration of embedded systems’, J. Embed. Comput., - Low-Power Embed. Syst., 2005, 1, (3), pp. 305316.
    9. 9)
      • 6. Sau, C., Raffo, L., Palumbo, F., et al: ‘Design flow of a rvc-cal multi-standard decoder implementation’. Conf. on Embedded Computer Systems: Architectures, Modeling and Simulation, 2014, (to appear).
    10. 10)
      • 11. Kahn, G.: ‘The semantics of a simple language for parallel programming’, in Rosenfeld, J.L. (Ed.): ‘Information processing’ (North Holland, Amsterdam, 1974), pp. 471475.
    11. 11)
      • 28. Pedram, M.: ‘Power aware design methodologies’ (Kluwer Academic Publishers, 2002).
    12. 12)
      • 17. Palumbo, F., Pani, D., Manca, E., et al: ‘Rvc: A multi-decoder cal composer tool’. Conf. on Design and Architectures for Signal and Image Processing, 2010.
    13. 13)
    14. 14)
      • 20. Casale Brunet, S., Bezati, E., Alberti, C., et al: ‘Partitioning and optimization of high level stream applications for multi clock domain architectures’. Workshop on Signal Processing Systems, 2013.
    15. 15)
      • 25. Meloni, P., Pomata, S., Tuveri, G., et al: ‘Enabling fast asip design space exploration: An fpga-based runtime reconfigurable prototyper’. VLSI Design, 2012.
    16. 16)
      • 26. Meloni, P., Loi, I., Angiolini, F., et al: ‘Area and power modeling for networks-on-chip with layout awareness’. VLSI DESIGN, 2007.
    17. 17)
      • 10. Dennis, J.B.: ‘First version of a data flow procedure language’. Programming Symp., 1974.
    18. 18)
      • 18. Wipliez, M., Siret, N., Carta, N., Palumbo, F., Raffo, L.: ‘Design ip faster: Introducing the c high-level language’. IP-SOC Conf. & Exhibition, 2012.
    19. 19)
      • 22. Schmidt, A.G., Steiner, N., French, M., Sass, R.: ‘Hwpmi: an extensible performance monitoring infrastructure for improving hardware design and productivity on fpgas’, J. Reconfigurable Comput., 2012.
    20. 20)
      • 19. Puri, R., Stok, L., Bhattacharya, S.: ‘Keeping hot chips cool’. Design Automation Conf., 2005.
    21. 21)
      • 9. Carta, N., Sau, C., Palumbo, F., Pani, D., Raffo, L.: ‘A coarse-grained reconfigurable wavelet denoiser exploiting the multi-dataflow composer tool’. Conf. on Design and Architectures for Signal and Image Processing, 2013.
    22. 22)
      • 2. Open RVC-CAL Compiler. (web) http://www.orcc.sourceforge.net/.
    23. 23)
      • 29. Zhang, Y., Roivainen, J., Mammela, A.: ‘Clock-Gating in FPGAs: a novel and comparative evaluation’. Conf. on Digital System Design: Architectures, Methods and Tools, 2006.
    24. 24)
    25. 25)
      • 23. Lucarz, C., Roquier, G., Mattavelli, M.: ‘High level design space exploration of rvc codec specifications for multi-core heterogeneous platforms’. Conf. on Design and Architectures for Signal and Image Processing, 2010.
    26. 26)
    27. 27)
      • 16. Kumar, V.V., Lach, J.: ‘Highly flexible multimode digital signal processing systems using adaptable components and controllers’, EURASIP J. Appl. Signal Process., 2006.
    28. 28)
      • 4. Brunet, S.C., Mattavelli, M., Janneck, J.W.: ‘Turnus: A design exploration framework for dataflow system design’. Symp. on Circuits and Systems, 2013.
    29. 29)
    30. 30)
      • 3. Endri Bezati, J.J., Mattavelli, M.: ‘High-level synthesis of dataflow programs for signal processing systems’. Symp. on Image and Signal Processing and Analysis, 2013.
    31. 31)
      • 30. Palumbo, F., Sau, C., Raffo, L.: ‘Dse and profiling of multi-context coarse-grained reconfigurable systems’. Symp. on Image and Signal Processing and Analysis, 2013.
    32. 32)
      • 24. Rahman, A.A.-H.A., Thavot, R., Brunet, S.C., Bezati, E., Mattavelli, M.: ‘Design space exploration strategies for fpga implementation of signal processing systems using cal dataflow program’. Conf. on Design and Architectures for Signal and Image Processing, 2012.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2014.0089
Loading

Related content

content/journals/10.1049/iet-cdt.2014.0089
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading