access icon free Morphable hundred-core heterogeneous architecture for energy-aware computation

Given the increased demand for high performance and energy-aware computational platforms, an adaptive heterogeneous computing platform composed of 100+ cores is herein proposed. The platform is based on an aggregate of multiple processing clusters, each containing multiple processing cores, whose architectures are adapted, in execution time, to the instantaneous energy and performance constraints of the software application under execution. This adaptation is ensured by a sophisticated hypervisor engine, implemented as a software layer in the host computer, which keeps a permanent record of a broad set of performance counters, gathered from the execution of each core in the field-programmable gate array (FPGA), in order to dynamically determine the optimal heterogeneous mix of processor architectures that satisfy the considered constraints. By issuing convenient reconfiguration commands to the reconfiguration engine, implemented in a static portion of the FPGA, partial dynamical reconfiguration mechanisms ensure a runtime adaptation of the cores that integrate each cluster. When compared with static instantiations of the considered many-core processor architectures, the obtained experimental results show that significant gains can be obtained with the proposed adaptive computing platform, with performance speedups up to 9.5× , while offering reductions in terms of the consumed energy as high as 10×.

Inspec keywords: reconfigurable architectures; field programmable gate arrays; power aware computing; multiprocessing systems

Other keywords: fleld-programmable gate array; morphable hundred-core heterogeneous architecture; reconflguration commands; many-core processor architectures; execution time; performance counters; reconflguration engine; instantaneous energy constraints; multiple processing clusters; permanent record; optimal heterogeneous mix; FPGA; high-performance energy-aware computational platforms; hypervisor engine; static portion; host computer; multiple processing cores; runtime adaptation; software application; instantaneous performance constraints; energy consumption reduction; partial-dynamical reconfiguration mechanisms; software layer; adaptive heterogeneous computing platform

Subjects: Computer architecture; Multiprocessing systems; Performance evaluation and testing; Logic and switching circuits

References

    1. 1)
      • 15. Rodrigues, R., Annamalai, A., Koren, I., Kundu, S.: ‘Improving performance per watt of asymmetric multi-core processors via online program phase classification and adaptive core morphing’, ACM Trans. Des. Autom. Electron. Syst., 2013, 18, (1), pp. 5:15:23.
    2. 2)
    3. 3)
      • 2. Kumar, R., Martinez, A., Gonzalez, A.: ‘Dynamic selective devectorization for efficient power gating of SIMD units in a HW/SW co-designed environment’. 25th Int. Symp. on Computer Architecture and High Performance Computing (SBAC-PAD), October 2013, pp. 8188.
    4. 4)
      • 26. MicroBlaze Processor Reference Guide, v14.3, Xilinx Inc., October 2012.
    5. 5)
    6. 6)
      • 24. LogiCORE IP AXI DMA v6.03a, Xilinx Inc., December 2012. Available at http://www.xilinx.com/support/documentation/ip_documentation/.
    7. 7)
      • 8. Chitlur, N., Srinivasa, G., Hahn, S., et al: ‘Quickia: exploring heterogeneous architectures on real prototypes’. IEEE 18th Int. Symp. on High Performance Computer Architecture (HPCA), February 2012, pp. 18.
    8. 8)
      • 19. Caspi, E., Chu, M., Huang, R., Yeh, J., Wawrzynek, J., DeHon, A.: ‘Stream computations organized for reconfigurable execution (SCORE)’. Field-Programmable Logic and Applications: The Roadmap to Reconfigurable Computing, 2000, pp. 605614.
    9. 9)
      • 14. Rodrigues, R., Annamalai, A., Koren, I., Kundu, S., Khan, O.: ‘Performance per watt benefits of dynamic core morphing in asymmetric multicores’. 2011 Int. Conf. on Parallel Architectures and Compilation Techniques (PACT), October 2011, pp. 121130.
    10. 10)
      • 7. Cong, J., Yuan, B.: ‘Energy-efficient scheduling on heterogeneous multi-core architectures’. Proc. of the 2012 ACM/IEEE Int. Symp. on Low Power Electronics and Design, 2012, pp. 345350.
    11. 11)
      • 22. AMBA® 4 AXI4-Stream Protocol, v1.0, ARM, Ltd., March 2010. Available at http://www.infocenter.arm.com.
    12. 12)
      • 4. Muthukaruppan, T.S., Pricopi, M., Venkataramani, V., Mitra, T., Vishin, S.: ‘Hierarchical power management for asymmetric multi-core in dark silicon era’. Proc. of the 50th Annual Design Automation Conf., 2013, pp. 174:1174:9.
    13. 13)
      • 23. Shin, E.S., Mooney, V.J.III, Riley, G.F.: ‘Round-robin arbiter design and generation’. Proc. of the 15th Int. Symp. on System Synthesis, 2002, pp. 243248.
    14. 14)
      • 18. Garcia, P., Compton, K.: ‘Kernel sharing on reconfigurable multiprocessor systems’. Int. Conf. on ICECE Technology (FPT). IEEE, 2008, pp. 225232.
    15. 15)
      • 16. Chaves, R., Kuzmanov, G., Sousa, L.: ‘On-the-fly attestation of reconfigurable hardware’. Int. Conf. on Field Programmable Logic and Applications (FPL). IEEE, 2008, pp. 7176.
    16. 16)
      • 9. Van Craeynest, K., Jaleel, A., Eeckhout, L., Narvaez, P., Emer, J.: ‘Scheduling heterogeneous multi-cores through performance impact estimation (PIE)’. Proc. of the 39th Annual Int. Symp. on Computer Architecture (ISCA'2012), 2012, pp. 213224.
    17. 17)
      • 12. Pal, R., Paul, K., Prasad, S.: ‘Rekonf: a reconfigurable adaptive manycore architecture’. 2012 IEEE Tenth Int. Symp. on Parallel and Distributed Processing with Applications (ISPA), 2012, pp. 182191.
    18. 18)
      • 25. Kranenburg, T., van Leuken, R.: ‘MB-LITE: a robust, light-weight soft-core implementation of the MicroBlaze architecture’. Design, Automation and Test in Europe Conf. and Exhibition (DATE), March 2010, pp. 9971000.
    19. 19)
      • 20. Chen, Z., Pittman, R.N., Forin, A.: ‘Combining multicore and reconfigurable instruction set extensions’. Proc. of the 18th Annual ACM/SIGDA Int. Symp. on Field Programmable Gate Arrays (FPGA), 2010, pp. 3336.
    20. 20)
      • 28. LogiCORE IP Floating-Point Operator v5.0, Xilinx Inc., March 2011. Available at http://www.xilinx.com/support/documentation/ip_documentation/.
    21. 21)
      • 5. Zhu, Y., Reddi, V.: ‘High-performance and energy-efficient mobile web browsing on big/little systems’. 2013 IEEE 19th Int. Symp. on High Performance Computer Architecture (HPCA), February 2013, pp. 1324.
    22. 22)
      • 21. Lorenz, M.G., Mengibar, L., Valderas, M.G., Entrena, L.: ‘Power consumption reduction through dynamic reconfiguration’. Field Programmable Logic and Application, 2004, pp. 751760.
    23. 23)
      • 17. Watkins, M.A., Albonesi, D.H.: ‘Remap: a reconfigurable heterogeneous multicore architecture’. 43rd Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO). IEEE, 2010, pp. 497508.
    24. 24)
      • 6. Koufaty, D., Reddy, D., Hahn, S.: ‘Bias scheduling in heterogeneous multi-core architectures’. Proc. of the Fifth European Conf. on Computer Systems, ser. EuroSys ‘10, 2010, pp. 125138.
    25. 25)
      • 13. Wang, W., Mishra, P., Ranka, S.: ‘Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems’. 48th ACM/EDAC/IEEE Design Automation Conf. (DAC), June 2011, pp. 948953.
    26. 26)
      • 3. Semeraro, G., Magklis, G., Balasubramonian, R., Albonesi, D., Dwarkadas, S., Scott, M.: ‘Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling’. Eighth Int. Symp. on High-Performance Computer Architecture (ISCA), February 2002, pp. 2940.
    27. 27)
    28. 28)
      • 27. GCC, the GNU Compiler Collection, GNU Project, October 2013. Available at http://www.gcc.gnu.org/.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2014.0078
Loading

Related content

content/journals/10.1049/iet-cdt.2014.0078
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading