Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Secure distribution infrastructure for hardware digital contents

Field-programmable gate array (FPGA) reconfigurability creates the possibility of distributing hardware cores pretty much like software digital contents, possibly on payment or on a subscription basis. In this work, the authors propose an infrastructure for the secure distribution of such hardware digital contents (HDCs). Aimed at the practical realisation of the envisioned scenario, this study analyses the security-related features of the current FPGA devices, for example, (partial) bitstream encryption, and takes them as the underlying constraints for the definition of the infrastructure. This work clearly identifies the roles involved in the secure distribution process, including a trusted third-party entity, and introduces a cryptographic protocol ensuring the confidentiality and the trustworthiness of partial bitstreams dynamically downloaded to the user's device. This study also presents a detailed case-study application scenario, namely the secure distribution of image codec components, providing a few quantitative results and demonstrating the limited overhead incurred by the proposed solution in terms of time and area costs. The conclusive section of this study discusses the lesson learned from this work and draws a few proposals for the evolution of security-related FPGA features which may enable the full realisation of the secure HDC distribution concept.

References

    1. 1)
      • 3. Widevine home page’, Developers, Widevine, 2014. Available at: http://www.widevine.com/wv_drm.html.
    2. 2)
      • 4. Eskicioglu, A.M., Town, J., Delp III, E.J.: ‘Security of digital entertainment content from creation to consumption’. Int. Symp. on Optical Science and Technology, International Society for Optics and Photonics, 2001, pp. 187211.
    3. 3)
    4. 4)
      • 2. What is android’, Developers, Android, 2011. Available at: http://www.developer.android.com/guide/basics/what-is-android.html.
    5. 5)
    6. 6)
      • 25. Sanders, L.: ‘Secure boot of zynq-7000 all programmable SoC’, 2013.
    7. 7)
      • 6. Cilardo, A., Mazzeo, A., Romano, L., Saggese, G.: ‘An FPGA-based key-store for improving the dependability of security services’. 10 IEEE Int. Workshop on Object-Oriented Real-Time Dependable Systems, 2005 (WORDS 2005), February 2005, pp. 389396.
    8. 8)
      • 1. Chaouchi, H.: ‘The internet of things: connecting objects’ (Wiley-ISTE, 2010).
    9. 9)
    10. 10)
      • 5. Rosenblatt, W., Mooney, S., Trippe, W.: ‘Digital rights management: business and technology’ (M&T Books, 2003).
    11. 11)
      • 26. Barbareschi, M., Mazzeo, A., Vespoli, A.: ‘Network traffic analysis using android on a hybrid computing architecture’. Algorithms and Architectures for Parallel Processing, Springer Int. Publishing, 2013, pp. 141148.
    12. 12)
      • 7. Trusted Computing Group (TCG): ‘TCG specification architecture overview revision 1.2’, 2004.
    13. 13)
      • 20. Güneysu, T., Möller, B., Paar, C.: ‘Dynamic intellectual property protection for reconfigurable devices’. Int. Conf. on Field-Programmable Technology, 2007 (ICFPT 2007), December 2007, pp. 169176.
    14. 14)
    15. 15)
      • 10. Benz, F., Seffrin, A., Huss, S.A.: ‘Bil: a tool-chain for bitstream reverse-engineering’. 22nd Int. Conf. on Field Programmable Logic and Applications (FPL), 2012, 2012, pp. 735738.
    16. 16)
      • 19. Couture, N., Kent, K.B.: ‘Periodic licensing of FPGA based intellectual property’. IEEE Int. Conf. on Field Programmable Technology, 2006 (FPT 2006), 2006, pp. 357360.
    17. 17)
      • 24. Crow, G.: ‘Advanced security schemes for Spartan-3A/3AN/3A DSP FPGAs’. Xilinx Corp. White Paper, ref, 2007, vol. 267.
    18. 18)
      • 16. Devic, F., Torres, L., Crenne, J., Badrignans, B., Benoit, P.: ‘Secure DPR: secure update preventing replay attacks for dynamic partial reconfiguration’. 22nd Int. Conf. on Field Programmable Logic and Applications (FPL), 2012, 2012, pp. 5762.
    19. 19)
      • 18. Kepa, K., Morgan, F., Kosciuszkiewicz, K., Surmacz, T.: ‘Serecon: a secure dynamic partial reconfiguration controller’. Symp. on VLSI, 2008 (ISVLSI'08) IEEE Computer Society Annual, 2008, pp. 292297.
    20. 20)
      • 15. Bogdanov, A., Moradi, A., Yalcin, T.: ‘Efficient and side-channel resistant authenticated encryption of FPGA bitstreams’. Int. Conf. on Reconfigurable Computing and FPGAs (ReConFig), 2012, 2012, pp. 16.
    21. 21)
      • 11. Clark, C.J.: ‘Anti-tamper JTAG TAP design enables DRM to JTAG registers and p1687 on-chip instruments’. IEEE Int. Symp. on Hardware-Oriented Security and Trust (HOST), 2010, 2010, pp. 1924.
    22. 22)
      • 14. Hori, Y., Satoh, A., Sakane, H., Toda, K.: ‘Bitstream encryption and authentication with AES-GCM in dynamically reconfigurable systems’. Int. Conf. on Field Programmable Logic and Applications, 2008 (FPL 2008), 2008, pp. 2328.
    23. 23)
      • 8. Alliance, O.M.: ‘DRM specification v2. 0’ (Open Mobile Alliance Ltd, 2004).
    24. 24)
      • 23. Smerdon, M.: ‘Security solutions using Spartan-3 generation FPGAs’. Xilinx Inc. (Citeseer, 2008).
    25. 25)
      • 21. Drimer, S., Guneysu, T., Kuhn, M.G., Paar, C.: ‘Protecting multiplecores in a single fpga design’, 2008. Available at: http://www.cl.cam.ac.uk/~sd410/papers/protect_many_cores.pdf.
    26. 26)
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2014.0036
Loading

Related content

content/journals/10.1049/iet-cdt.2014.0036
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address