access icon free Multi-cycle broadside tests with runs of constant primary input vectors

Multi-cycle tests, with two or more functional clock cycles between scan operations, can be used for test compaction. When tester limitations prevent primary input vectors from being changed at-speed, one of the possible solutions is to hold the primary input vector constant during the functional clock cycles of a multi-cycle test. However, this limits the level of test compaction that can be achieved. To provide an alternative to this solution, a new type of multi-cycle tests has been defined, where the primary input vector is changed during a clock cycle that is applied under a slow clock. This is followed by a run of the same vector applied under a fast clock. Transition faults are activated during the clock cycles that are applied under a fast clock. A test generation procedure that produces such test sets for transition faults has also been described. Experimental results demonstrate that the new type of tests can improve the ability to produce a compact test set for certain benchmark circuits.

Inspec keywords: circuit testing; clocks

Other keywords: multicycle broadside tests; fast clock; functional clock cycles; test generation procedure; clock cycle; transition faults; constant primary input vectors; test compaction; slow clock; benchmark circuits

Subjects: Other circuits for digital computers; Digital circuit design, modelling and testing; Other digital circuits

References

    1. 1)
    2. 2)
    3. 3)
    4. 4)
    5. 5)
    6. 6)
    7. 7)
    8. 8)
      • 20. Tenentes, V., Kavousianos, X.: ‘Low power test-compression for high test-quality and low test-data volume’. Proc. Asian Test Symp., 2011, pp. 4653.
    9. 9)
      • 2. Lee, S.Y., Saluja, K.K.: ‘Test application time reduction for sequential circuits with scan’, IEEE Trans. Comput. Aided Des., 1995, 14, (9), pp. 11281140 (doi: 10.1109/43.406714).
    10. 10)
      • 14. Touba, N.A.: ‘Survey of test vector compression techniques’, IEEE Des. Test, 2006, 23, (4), pp. 294303 (doi: 10.1109/MDT.2006.105).
    11. 11)
      • 9. Tendolkar, N., Raina, R., Woltenberg, R., Lin, X., Swanson, B., Aldrich, G.: ‘Novel techniques for achieving high at-speed transition fault test coverage for Motorola's microprocessors based on PowerPC(TM) instruction set architecture’. Proc. VLSI Test Symp., 2002, pp. 38.
    12. 12)
      • 1. Savir, J., Patil, S.: ‘Broad-side delay test’, IEEE Trans. Comput. Aided Des., 1994, 13, (8), pp. 10571064 (doi: 10.1109/43.298042).
    13. 13)
      • 4. Rearick, J.: ‘Too much delay fault coverage is a bad thing’. Proc. Int. Test Conf., 2001, pp. 624633.
    14. 14)
      • 12. Barnhart, C., Brunkhorst, V., Distler, F., Farnsworth, O., Keller, B., Koenemann, B.: ‘OPMISR: the foundation for compressed ATPG vectors’. Proc. Int. Test Conf., 2001, pp. 748757.
    15. 15)
      • 16. Alampally, S., Venkatesh, R.T., Shanmugasundaram, P., Parekhji, R.A., Agrawal, V.D.: ‘An efficient test data reduction technique through dynamic pattern mixing across multiple fault models’. Proc. VLSI Test Symp., 2011, pp. 285290.
    16. 16)
      • 6. Bhargava, G., Meehl, D., Sage, J.: ‘Achieving serendipitous N-detect mark-offs in multi-capture-clock scan patterns’. Proc. Int. Test Conf., 2007, Paper 30.2.
    17. 17)
      • 18. Rajski, J., Moghaddam, E.K., Reddy, S.M.: ‘Low power compression utilizing clock-gating’. Proc. Int. Test Conf., 2011, pp. 18.
    18. 18)
      • 8. Pomeranz, I.: ‘Generation of multi-cycle broadside tests’, IEEE Trans. Comput. Aided Des., 2011, 30, (8), pp. 12531257 (doi: 10.1109/TCAD.2011.2138470).
    19. 19)
      • 13. Rajski, J., Tyszer, J., Kassab, M., et al: ‘Embedded deterministic test for low cost manufacturing test’. Proc. Int. Test Conf., 2002, pp. 301310.
    20. 20)
      • 24. Cheng, K.-T.: ‘Transition fault testing for sequential circuits’, IEEE Trans. Comput. Aided Des., 1993, 12, (12), pp. 19711983 (doi: 10.1109/43.251160).
    21. 21)
      • 11. Lee, K., Chen, J., Huang, C.: ‘Using a single input to support multiple scan chains’. Proc. Int. Conf. Computer-Aided Design, 1998, pp. 7478.
    22. 22)
      • 7. Park, I., McCluskey, E.J.: ‘Launch-on-shift-capture transition tests’. Proc. Int. Test Conf., 2008, pp. 19.
    23. 23)
      • 5. Lin, X., Thompson, R.: ‘Test generation for designs with multiple clocks’. Proc. Design Automation Conf., 2003, pp. 662667.
    24. 24)
      • 3. Maxwell, P.C., Aitken, R.C., Kollitz, K.R., Brown, A.C.: ‘IDDQ and AC scan: the war against unmodelled defects’. Proc. Int. Test Conf., 1996, pp. 250258.
    25. 25)
      • 10. Qiu, W., Wang, J., Walker, D.M.H., et al: ‘K longest paths per gate (KLPG) test generation for scan-based sequential circuits’. Proc. Int. Test Conf., 2004, pp. 223231.
    26. 26)
      • 17. Czysz, D., Mrugalski, G., Mukherjee, N., Rajski, J., Szczerbicki, P., Tyszer, J.: ‘Deterministic clustering of incompatible test cubes for higher power-aware EDT compression’, IEEE Trans. Comput. Aided Des., 2011, 30, (8), pp. 12251238 (doi: 10.1109/TCAD.2011.2126574).
    27. 27)
      • 22. Liu, X., Xu, Q.: ‘On X-variable filling and flipping for capture-power reduction in linear decompressor-based test compression environment’, IEEE Trans. Comput. Aided Des., 2012, 31, (11), pp. 17431753 (doi: 10.1109/TCAD.2012.2201481).
    28. 28)
      • 23. Lin, X., Rajski, J.: ‘On utilizing test cube properties to reduce test data volume further’. Proc. Asian Test Symp., 2012, pp. 8388.
    29. 29)
      • 21. Chandra, A., Saikia, J., Kapur, R.: ‘Breaking the test application time barriers in compression: adaptive scan-cyclical (AS-C)’. Proc. Asian Test Symp., 2011, pp. 432437.
    30. 30)
      • 19. Tenentes, V., Kavousianos, X.: ‘Test-data volume and scan-power reduction with low ATE interface for multi-core SoCs’. Proc. Int. Conf. Computer-Aided Design, 2011, pp. 747754.
    31. 31)
      • 15. Li, J., Huang, Y., Xiang, D.: ‘Prediction of compression bound and optimization of compression architecture for linear decompression-based schemes’. Proc. VLSI Test Symp., 2011, pp. 297302.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2013.0101
Loading

Related content

content/journals/10.1049/iet-cdt.2013.0101
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading