Statistical static timing analysis using symbolic event propagation

Access Full Text

Statistical static timing analysis using symbolic event propagation

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Circuits, Devices & Systems — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Accurate estimation of critical path delays in circuits is a challenging task, particularly when variations due to manufacturing are considered. For small circuits (such as standard cells), simulation-based characterisation is preferred for better accuracy. For large circuits, statistical timing analysis techniques are used, but these methods typically yield a pessimistic overestimate. In view of the growing size of custom cell designs, an intermediate approach is required – one that can scale to circuits of moderate size and can produce more accurate estimates than traditional static timing analysis methods. A new method is presented that combines symbolic event propagation with statistical timing analysis and thereby achieves a significant level of accuracy with acceptable computational overhead. The benefits of the new style of analysis over the ISCAS'89 benchmark circuits are demonstrated.

Inspec keywords: timing; integrated circuit design

Other keywords: custom cell design; critical path delays; ISCAS'89 benchmark circuit; symbolic event propagation; statistical static timing analysis

Subjects: Semiconductor integrated circuit design, layout, modelling and testing

References

    1. 1)
      • R. Goering . Researchers call for fundamental shift in timing analysis.
    2. 2)
      • Tsukiyama, S., Tanaka, M., Fukui, M.: `A statistical static timing analysis considering correlations between delays', ASP-DAC'01: Proc. 2001 Conf. on Asia South Pacific Design Automation, 2001, p. 353–358.
    3. 3)
      • Orshansky, M., Bandyopadhyay, A.: `Fast statistical timing analysis handling arbitrary delay correlations', DAC'04: Proc. 41st Annual Conf. on Design Automation, 2004, p. 337–342.
    4. 4)
      • Liou, J.-J., Cheng, K.-T., Kundu, S., Krstic, A.: `Fast statistical timing analysis by probabilistic event propagation', DAC'01: Proc. 38th Conf. on Design Automation, 2001, p. 661–666.
    5. 5)
      • Ando, E., Yamashita, M., Nakata, T., Matsunaga, Y.: `The statistical longest path problem and its application to delay analysis of logical circuits', TAU'02: Proc. 8th ACM/IEEE Int. Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002, p. 134–139.
    6. 6)
      • Hitchcock, S.R.B.: `Timing verification and the timing analysis program', DAC'82: Proc. 19th Conf. on Design Automation, 1982, p. 594–604.
    7. 7)
      • E.C. Clark . The greatest of a finite set of random variables. Oper. Res. , 2 , 145 - 162
    8. 8)
      • Chen, H.-C., Du, D.H.C.: `Path sensitization in critical path problem', Int. Conf. on Computer-Aided Design of VLSI Circuits, 1991, p. 208–211.
    9. 9)
      • Devgan, A., Kashyap, C.: `Block-based static timing analysis with uncertainty', ICCAD'03: Proc. 2003 IEEE/ACM Int. Conf. on Computer-Aided Design, 2003, p. 607.
    10. 10)
      • H.-F. Jyu , S. Malik , S. Devadas , K.W. Keutzer . Statistical timing analysis of combinational logic circuits. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , 2 , 126 - 137
    11. 11)
      • A. Agarwal , D. Blaauw , V. Zolotov . Statistical timing analysis using bounds and selective enumeration. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , 9 , 1243 - 1260
    12. 12)
      • Orshansky, M., Keutzer, K.: `A general probabilistic framework for worst case timing analysis', DAC'02: Proc. 39th Conf. on Design Automation, 2002, p. 556–561.
    13. 13)
      • Berkelaar, M.: `Statistical delay calculation a linear time method', Int. Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 1997, p. 100–105.
    14. 14)
      • H. Yalcin , J.P. Hayes . Event propagation condition in circuit delay computation. ACM Trans. Des. Autom. Electron. Syst. , 3 , 249 - 280
    15. 15)
      • L. Guerra e Silva , J. Marques-Silva , L.M. Silveira , K.A. Sakallah . Satisfiability models and algorithms for circuit delay computation. ACM Trans. Des. Autom. Electron. Syst. , 1 , 137 - 158
    16. 16)
      • H.-C. Chen , D.H.C. Du . Path sensitization in critical path problem. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , 2 , 196 - 207
    17. 17)
      • A. Mondal , P.P. Chakrabarti . Reasoning about timing behaviour of digital circuits using symbolic event propagation and temporal logic. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , 9 , 1793 - 1814
    18. 18)
      • Liou, J.-J., Krstic, A., Wang, L.-C., Cheng, K.-T.: `False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation', DAC'02: Proc. 39th Conf. on Design Automation, 2002, p. 566–569.
    19. 19)
      • Tsukiyama, S., Tanaka, M., Fukui, M.: `Techniques to remove false paths in statistical static timinganalysis', Proc. 4th Int. Conf. on ASIC, 2001, p. 39–44.
    20. 20)
      • Visweswariah, C., Ravindran, K., Kalafala, K., Walker, S.G., Narayan, S.: `First-order incremental block-based statistical timing analysis', DAC'04: Proc. 41st Annual Conf. on Design Automation, 2004, p. 331–336.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds_20060318
Loading

Related content

content/journals/10.1049/iet-cds_20060318
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading