access icon free Wear-out stress monitor utilising temperature and voltage sensitive ring oscillators

The authors propose an on-chip wear-out monitoring technique, which is based on monitoring the environmental conditions experienced by a digital circuit. The frequency of the T-sensitive ring oscillator (RO) emulates the wear-out stress strength caused by the temperature conditions based on the model of exponential dependence of the stress on the inverse of temperatures. The frequency of the VT-sensitive RO emulates the stress due to time-dependent dielectric breakdown, which is stressed by voltages as well as temperatures. Thus, the accumulated counts driven by the ROs directly indicate the total wear-out stress that the product has experienced so far. The measured results of a test chip fabricated by 28 nm High-k Metal Gate process confirm the expected dependence of T-/VT-sensitive RO frequencies on temperatures and voltages, enabling the emulation of wear-out. The methodology is presented to estimate the stress amount of various wear-out factors having different thermal activation energies. The proposed wear-out stress monitor would make automotive microcontrollers more reliable when they operate at boosted voltages and elevated temperatures to meet performance requirements of cutting-edge applications such as advanced driver assistance systems.

Inspec keywords: stress analysis; mechanical strength; electric breakdown; oscillators; microcontrollers; automotive electronics

Other keywords: total wear-out stress; digital circuit; on-chip wear-out monitoring technique; T-VT-sensitive RO frequencies; environmental condition monitoring; HKMG process; model-of-exponential dependence; advanced driver assistance systems; size 28 nm; T-sensitive ring oscillator; voltage sensitive ring oscillators; time-dependent dielectric breakdown; boosted voltages; thermal activation energies; automotive microcontrollers; wear-out stress strength; elevated temperatures; wear-out stress monitor; temperature conditions

Subjects: Automobile electronics and electrics; Dielectric breakdown and discharges; Oscillators; Microprocessors and microcomputers

References

    1. 1)
      • 14. Igarashi, M., Takeuchi, K., Okagaki, T., et al: ‘An on-die digital aging monitor against HCI and xBTI in 16 nm Fin-FET bulk CMOS technology’. Proc. European Solid-State Circuits Conf. (ESSCIRC), 2015, pp. 112115.
    2. 2)
      • 19. Parameshwaran, B.: ‘CMOS FEOL reliability in advanced nodes – a foundry perspective’. Int. Reliability Physics Symp. (IRPS) Tutorial, 2014, Topic 1.4.
    3. 3)
      • 6. Chen, M., Kufluoglu, H., Carulli, J., et al: ‘Aging sensors for workload centric guardbanding in dynamic voltage scaling applications’. Proc. Int. Reliability Physics Symp. (IRPS), 2013, 4A.2.
    4. 4)
      • 7. Lu, P.F., Jenkins, K.A., Muller, K.P., et al: ‘Long-term data for BTI degradation in 32 nm IBM microprocessor using HKMG technology’. Proc. Int. Reliability Physics Symp. (IRPS), 2015, 6A.2.
    5. 5)
      • 3. Oates, A.S., Lin, M.H.: ‘The scaling of electromigration lifetimes’. Proc. Int. Reliability Physics Symp. (IRPS), 2012, 6B.2.
    6. 6)
      • 5. Wang, X., Song, S.H., Paul, A., et al: ‘Fast characterization of PBTI and NBTI induced frequency shifts under a realistic recovery bias using a ring oscillator based circuit’. Proc. Int. Reliability Physics Symp. (IRPS), 2014, 6B.2.
    7. 7)
      • 24. Lu, P.F., Jenkins, K.A.: ‘A built-in BTI monitor for long-term data collection in IBM microprocessors’. Proc. Indian Railway Personnel Service (IRPS), 2013, 4A.1.1.
    8. 8)
      • 21. Takeuchi, K., Shimada, M., Okagaki, T., et al: ‘FEOL/BEOL wear-out estimator using stress-to-frequency conversion of voltage/temperature-sensitive ring oscillators for 28 nm automotive MCUs’. Proc. European Solid State Circuits Conf. (ESSCIRC), 2016, B2L-G3.
    9. 9)
      • 13. Kim, K.K., Wang, W., Choi, K.: ‘On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits’, IEEE Trans. Circuits Syst., 2010, 57, (10), pp. 798802.
    10. 10)
      • 10. Tenentes, V., Rossi, D., Yang, S., et al: ‘Coarse-grained online monitoring of BTI aging by reusing power-gating infrastructure’, IEEE Trans. Very-Large-Scale Integration Syst., 2017, 25, (4), pp. 13971407.
    11. 11)
      • 9. Martins, C.V., Vazquez, J.C., Santos, M., et al: ‘Adaptive error-prediction flip-flop for performance failure prediction with aging sensors’. Proc. 29th IEEE VLSI Test Symp., 2011, pp. 203208.
    12. 12)
      • 4. JEDEC Publication: ‘Failure mechanisms and models for semiconductor devices’. JEP122.
    13. 13)
      • 16. Keane, J., Wang, X., Persaud, D., et al: ‘An all-in-one silicon odometer for separately monitoring HCI, BTI, and TDDB’, IEEE J. Solid-State Circuits, 2010, 45, (4), pp. 817829.
    14. 14)
      • 23. Rossi, D., Tenentes, V., Yang, S., et al: ‘Aging benefits in nanometer CMOS designs’, IEEE Trans. Circuits Syst., 2017, 64, (3), pp. 324328.
    15. 15)
      • 12. Hsieh, M.H., Huang, Y.C., Yew, T.Y., et al: ‘The impact and implication of BTI/HCI decoupling on ring oscillator’. Proc. Int. Reliability Physics Symp. (IRPS), 2015, 6A.4.
    16. 16)
      • 8. Satapathy, S., Choi, W.H., Wang, X., et al: ‘A revolving reference odometer circuit for BTI-induced frequency fluctuation measurements under fast DVFS transients’. Proc. Int. Reliability Physics Symp., IRPS, 2015, 6A.3.
    17. 17)
      • 1. Mihara, S., Huard, V., Jain, A., et al: ‘Mission profile recorder: an aging monitor for hard events’. Proc. Int. Reliability Physics Symp. (IRPS), 2016, 4C.3.
    18. 18)
      • 17. Baranowski, R., Cook, A., Imhof, M.E., et al: ‘Synthesis of workload monitors for on-line stress prediction’. Proc. IEEE Int. Symp. Discrete Fourier Transform (DFTS), 2013, pp. 137142.
    19. 19)
      • 20. Kwasnick, R., Reilly, M., Hatfield, J., et al: ‘Impact of VLSI technology scaling on HTOL’. Proc. Indian Railway Personnel Service (IRPS), 2016, 5C3.
    20. 20)
      • 22. Saneyoshi, E., Nose, K., Kajita, M., et al: ‘A 1.1V 35 μm × 35 μm thermal sensor with supply voltage sensitivity of 2°C/10%-supply for thermal management on the SX-9 supercomputer’. Proc. Symp. Very-Large-Scale Integration, VLSI Circuits, 2008, pp. 152153.
    21. 21)
      • 18. Grenat, A., Sundaram, S., Kosonocky, S., et al: ‘Increasing the performance of a 28 nm × 86-64 microprocessor through system power management’. Proc. Int. Solid-State Circuits Conference (ISSCC), 2016, 4.2.
    22. 22)
      • 11. Huang, Y.C., Yew, T.Y., Hsieh, M.H., et al: ‘Re-investigating the adequacy of projecting ring oscillator frequency shift from device level degradation’. Proc. Int. Reliability Physics Symp. (IRPS), 2014, 2D.6.
    23. 23)
      • 2. Aubel, O.: ‘BEOL reliability challenges and its interaction with process integration’. Int. Reliability Physics Symp. (IRPS) Tutorial, 2011, Topic 134.
    24. 24)
      • 15. Singh, P., Foo, Z., Wieckowski, M., et al: ‘Early detection of oxide breakdown through in situ degradation sensing’. Proc. Int. Solid-State Circuits Conf. (ISSCC), 2010, 9.9.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2017.0153
Loading

Related content

content/journals/10.1049/iet-cds.2017.0153
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading