Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Heuristic thermal sensor allocation methods for overheating detection of real microprocessors

Modern microprocessors utilise embedded thermal sensors to continuously monitor the chip's temperature during runtime. However, the overheating locations change temporally and spatially depending on the various workloads running on the chip. Furthermore, on-chip thermal sensor readings are highly affected by noise due to fabrication fluctuations and randomness, which makes the task of thermal monitoring particularly challenging. In this study, the authors first establish overheating detection models to address the thermal sensor allocation problem under two different conditions when the on-chip thermal sensor observations are corrupted by noise. On this basis, a heuristic method based on genetic algorithm is proposed to find a near-optimal thermal sensor allocation solution, which can make overheating detection probability significantly improved with a greatly reduced execution time. They also propose a hybrid algorithm to identify the optimal thermal sensor placement for each individual chip block or component. Moreover, they develop an oil-based cooling system and utilise infrared thermal imaging techniques to capture the thermal traces of a real dual-core microprocessor when running various workloads. The experiments demonstrate that the authors’ proposed thermal sensor allocation methods obviously outperform several common allocation approaches in terms of overheating detection, which can provide an accurate and reliable thermal monitoring.

References

    1. 1)
      • 15. Li, X., Rong, M., Wang, R., et al: ‘Reducing the number of sensors under hot spot temperature error bound for microprocessors based on dual clustering’, IET Circuits Devices Syst., 2013, 7, (4), pp. 211220.
    2. 2)
      • 13. Li, X., Li, X., Jiang, W., et al: ‘Optimising thermal sensor placement and thermal maps reconstruction for microprocessors using simulated annealing algorithm based on PCA’, IET Circuits Devices Syst., 2016, 10, (6), pp. 463472.
    3. 3)
      • 20. Chang, X., Tan, R., Xing, G., et al: ‘Sensor placement algorithms for fusion-based surveillance networks’, IEEE Trans. Parallel Distrib. Syst., 2011, 22, (8), pp. 14071414.
    4. 4)
      • 10. Chen, K., Chang, E., Li, H., et al: ‘RC-based temperature prediction scheme for proactive dynamic thermal management in throttle-based 3D NoCs’, IEEE Trans. Parallel Distrib. Syst., 2015, 26, (1), pp. 206218.
    5. 5)
      • 1. Nowroz, A.N., Cochran, R., Reda, S.: ‘Thermal monitoring of real processors: techniques for sensor allocation and full Characterization’. Proc. 47th Design Automation Conf., Anaheim, California, USA, June 2010, pp. 5661.
    6. 6)
      • 22. Ardestani, E.K., Mesa-Martínez, F.J., Renau, J.: ‘Cooling solutions for processor infrared thermography’. 26th Annual IEEE Semiconductor Thermal Measurement and Management Symp., February 2010, pp. 187190.
    7. 7)
      • 12. Zhang, Y., Srivastava, A.: ‘Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases’, IEEE Trans. Very Large Scale Integr. Syst., 2011, 19, (9), pp. 16171626.
    8. 8)
      • 24. Zou, Q., Yue, J., Segee, B., et al: ‘Temporal characterization of SPEC CPU2006 workloads: analysis and synthesis’. IEEE 31st Int. Performance Computing and Communications Conf. (IPCCC), Austin, TX, USA, December 2012, pp. 1120.
    9. 9)
      • 2. Li, X., Rong, M., Liu, T., et al: ‘Inverse distance weighting method based on a dynamic voronoi diagram for thermal reconstruction with limited sensor data on multiprocessors’, IEICE Trans. Electron., 2011, E94-C, (8), pp. 12951301.
    10. 10)
      • 17. Teguig, D., Le Nir, V., Scheers, B.: ‘Spectrum sensing method based on goodness of fit test using chi-square distribution’, Electron. Lett., 2014, 50, (9), pp. 713715.
    11. 11)
      • 8. Teravainen, S., Haghbayan, M.-H., Rahmani, A.-M., et al: ‘Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems’. IEEE Int. Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), Amherst, MA, USA, October 2015, pp. 3540.
    12. 12)
      • 7. Shor, J., Luria, K.: ‘Evolution of thermal sensors in intel processors from 90 to 22 nm’. IEEE 27th Convention of Electrical & Electronics Engineers in Israel (IEEEI), Eilat, Israel, November 2012, pp. 15.
    13. 13)
      • 6. Reda, S., Cochran, R., Nowroz, A.N.: ‘Improved thermal tracking for processors using hard and soft sensor allocation techniques’, IEEE Trans. Comput., 2011, 60, (6), pp. 841851.
    14. 14)
      • 4. Ranieri, J., Vincenzi, A., Chebira, A., et al: ‘EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore Processors’. Proc. 49th Annual Design Automation Conf., San Francisco, California, USA, June 2012, pp. 636641.
    15. 15)
      • 11. Coskun, A.K., Rosing, T.S., Gross, K.C.: ‘Proactive temperature management in MPSoCs’. Int. Symp. Low Power Electronics and Design, Bangalore, India, August 2008, pp. 213218.
    16. 16)
      • 19. Du, X., Htet, K., Tan, K.: ‘Development of a genetic-algorithm-based nonlinear model predictive control scheme on velocity and steering of autonomous vehicles’, IEEE Trans. Ind. Electron., 2016, 63, (11), pp. 69706977.
    17. 17)
      • 9. Shi, B., Zhang, Y., Srivastava, A.: ‘Dynamic thermal management under soft thermal constraints’, IEEE Trans. VLSI Syst., 2013, 21, (11), pp. 20452054.
    18. 18)
      • 3. Mirtar, A., Dey, S., Raghunathan, A.: ‘Joint work and voltage/frequency scaling for quality-optimized dynamic thermal management’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 2015, 23, (6), pp. 10171030.
    19. 19)
      • 18. Ye, M., Wang, Y., Dai, C., et al: ‘A hybrid genetic algorithm for the minimum exposure path problem of wireless sensor networks based on a numerical functional extreme model’, IEEE Trans. Veh. Technol., 2016, 65, (10), pp. 86448657.
    20. 20)
      • 5. Cochran, R., Reda, S.: ‘Spectral techniques for high-resolution thermal characterization with limited sensor data’. Proc. 46th Annual Design Automation Conf., San Francisco, California, USA, July 2009, pp. 478483.
    21. 21)
      • 14. Memik, S.O., Mukherjee, R., Ni, M., et al: ‘Optimizing thermal sensor allocation for microprocessors’, IEEE Trans. Comput.-Aided Des. Integr. Circuits, 2008, 27, (3), pp. 516527.
    22. 22)
      • 21. Wang, X., Wang, X., Xing, G., et al: ‘Intelligent sensor placement for hot server detection in data centers’, IEEE Trans. Parallel Distrib. Syst., 2013, 24, (8), pp. 15771588.
    23. 23)
      • 23. Ardestani, E.K., Mesa-Martínez, F.J., Southern, G., et al: ‘Sampling in thermal simulation of processors: measurement, characterization, and evaluation’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2013, 32, (8), pp. 11871200.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2016.0529
Loading

Related content

content/journals/10.1049/iet-cds.2016.0529
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address