access icon free Unified approach for simultaneous functional and timing ECO

Engineering change order (ECO) is a technique commonly used in the later integrated circuit design stages to reduce redesign efforts and time-to-market. ECO problems are generally categorised according to functional changes (functional ECO) or timing violations (timing ECO). This study differs from conventional approaches in its adoption of a solution that involves unifying functional ECO with timing ECO. The authors applied the concept of virtual nodes to the problem of transforming timing ECO into functional ECO. In addition to buffer insertion and gate sizing, the authors developed a novel detour reduction method for the repair of timing violation paths. Technology mapping is used to facilitate the selection of spare cells, through the generation of various revisions for each ECO. The unified ECO problem is then solved using a novel modification of the Hungarian matching algorithm. Experiment result demonstrates the efficacy of the proposed approach at solving both types of ECO simultaneously.

Inspec keywords: integrated circuit design; electronic engineering computing

Other keywords: redesign effort reduction; functional ECO; timing violation path repair; engineering change order; virtual nodes; functional change; timing violations; detour reduction method; integrated circuit design; modified Hungarian matching algorithm; timing ECO

Subjects: Electronic engineering computing; Semiconductor integrated circuit design, layout, modelling and testing

References

    1. 1)
      • 10. Kuo, Y.M., Chang, Y.T., Chang, S.C., et al: ‘Engineering change using spare cells with constant insertion’. Proc. Int. Conf. on Computer-Aided Design, November 2007, pp. 544547.
    2. 2)
    3. 3)
      • 21. ABC: ‘A system for sequential synthesis and verification’. Available at http://www.eecs.berkeley.edu/~alanmi/abc/, accessed June 2016.
    4. 4)
      • 3. Chen, Y.P., Fang, J.W., Chang, Y.W.: ‘ECO timing optimization using spare cells’. Proc. of Int. Conf. on Computer-Aided Design, November 2007, pp. 530535.
    5. 5)
      • 2. Wu, Y.R., Chen, S.Y., Lee, K.Y., et al: ‘On using spare cells for functional change with wirelength consideration’. Proc. of Workshop on Synthesis and System Integration of Mixed Information Technologies, March 2009, pp. 301306.
    6. 6)
      • 18. Bhasker, J., Chadha, R.: ‘Static timing analysis for nanometer designs’. Available at https://s3-ap-southeast-1.amazonaws.com/placementfocuscontent/books/Timing_Analysis_Book.pdf, accessed June 2016.
    7. 7)
      • 15. Hung, J.H., Yeh, Y.K., Tseng, Y.S., et al: ‘A new ECO technology for functional changes and removing timing violations’. Proc. Int. Symp. on Quality Electronic Design, March 2011, pp. 15.
    8. 8)
    9. 9)
      • 6. Tan, C.Y., Jiang, I.H.R.: ‘Recent research development in metal-only ECO’. Proc. of Int. Midwest Symp. on Circuits and Systems, August 2011, pp. 14.
    10. 10)
    11. 11)
      • 16. Chang, H.Y., Jiang, I.H.R., Chang, Y.W.: ‘Simultaneous functional and timing ECO’. Proc. of Design Automation Conf., June 2011, pp. 140145.
    12. 12)
      • 17. Asratian, A.S., Denley, T.M.J., Häggkvist, R.: ‘Bipartite graphs and their applications’ (Cambridge University Press, 1998).
    13. 13)
      • 14. Wei, X., Tang, W.C., Diao, Y., et al: ‘ECO timing optimization with negotiation-based re-routing and logic re-structuring using spare cells’. Proc. Asia and South Pacific Design Automation Conf., January 2012, pp. 511516.
    14. 14)
    15. 15)
      • 4. Chang, K.H., Markov, I.L., Bertacco, V.: ‘Reap what you sow: spare cells for post-silicon metal fix’. Proc. Int. Symp. on Physical Design, April 2008, pp. 103110.
    16. 16)
      • 20. Faraday Technology Corporation. Available at http://www.faraday-tech.com/index.jsp, accessed June 2016.
    17. 17)
      • 7. Jiang, I.H.R., Chang, H.Y., Chang, L.G., et al: ‘Matching-based minimum-cost spare cell selection for design changes’. Proc. of Design Automation Conf., June 2011, pp. 408411.
    18. 18)
      • 5. Modi, N.A., Marek-Sadowska, M.: ‘ECO-map: technology remapping for post-mask ECO using simulated annealing’. Proc. Int. Conf. on Computer Design, October 2008, pp. 652657.
    19. 19)
      • 13. Chen, H.T., Chang, C.C., Hwang, T.T.: ‘New spare cell design for IR drop minimization in engineering change order’. Proc. Design Automation Conf., July 2009, pp. 402407.
    20. 20)
      • 11. Hung, J.H., Yeh, Y.K., Tseng, Y.S., et al: ‘Technology remapping for engineering change with wirelength consideration’. Proc. Int. Symp. on Circuits and Systems, May 2010, pp. 26022605.
    21. 21)
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2015.0395
Loading

Related content

content/journals/10.1049/iet-cds.2015.0395
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading