Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

access icon free Lithography technology for advanced devices and introduction to integrated CAD analysis for hotspot detection

Optical projection lithography has been the workhorse of the integrate circuit (IC) manufacturing industry to transfer the computer-aided design (CAD) to semiconducting material wafers. The resolution limit of the 193 nm wavelength lithography which was initially targeted for the 90 nm design rule has been further extended to realise ∼10–20 nm devices with ingenious interventions. The three-dimensional fin-shaped field-effect transistor device structure now realise the <20 nm design rule still using 193 nm projection lithography as the widely accepted solution. The extreme ultraviolet wavelength source systems are still in development and testing phases with some recent success reported, but still falling short of supporting volume production requirements. This study reviews the current trends in lithography and the associated resolution enhancement techniques with brief introduction to an integrated CAD analysis for hotspot detection.

References

    1. 1)
      • 16. Servin, I., Thiam, N.A., Pimenta-Barros, P., et al: ‘Ready for multi-beam exposure at 5 kV on MAPPER tool: lithographic and process integration performances of advanced resists/stack’. Proc. SPIE 9423, Alternative Lithographic Technologies VII, 2015, vol. 94231C.
    2. 2)
      • 15. Hohle, C., Choi, K-H., Gutsch, M., et al: ‘Verification of e-beam direct write integration into 28 nm BEOL SRAM technology’. Proc. SPIE 9423, Alternative Lithographic Technologies VII, 2015, vol. 94231B.
    3. 3)
      • 22. Yao, H., Cai, Y., Zhao, W.: ‘WIPAL: window-based parallel layout decomposition in double patterning lithography’. 2012 IEEE 11th Int. Conf. on Solid-State and Integrated Circuit Technology (ICSICT), 2012.
    4. 4)
    5. 5)
      • 12. Brandt, D. C, Fomenkov, I. V ., Lercel, M. J., et al: ‘Laser produced plasma EUV sources for device development and HVM’. SPIE Advanced Lithography, 2012.
    6. 6)
    7. 7)
    8. 8)
      • 49. Burmen, A., Puhan, J., Tuma, T.: ‘Robust design and optimization of operating amplifiers’. 2003 IEEE Int. Conf. on Industrial Technology, 2003, pp. 745750, 2:2.
    9. 9)
    10. 10)
    11. 11)
      • 28. Williams Charles, S., Becklund Orville, A.: ‘Introduction to the optical transfer functions’ (Wiley, New York, 1989).
    12. 12)
      • 40. Melvin Ill, L.S., Schmoeller, T., Kalus, C.K., et al: ‘Three dimensional mask effects in OPC process model development from first principles simulation’. 24th European Mask and Lithography Conf., 2008, pp. 18.
    13. 13)
      • 52. Le Denmat, J.C., Charbois, V., Tetar, L., et al: ‘Tracking of design related defects hidden in the random defectivity in a production environment’. Advanced Semiconductor Manufacturing Conf., 2009 SEMI, 2009, pp. 513.
    14. 14)
      • 55. Wu, M., Wang, W., Tian, L., et al: ‘Leakage in CMOS devices induced by pattern-dependent micro loading effect’. 10th IEEE Int. Conf. on Semiconductor Electronics, 2012, pp. 440443.
    15. 15)
      • 36. Kanaya, R., Fujii, K., lmai, M., et al: ‘An improved virtual aberration model to simulate mask 3D and resist effects’. Proc. SPIE. 9426, Optical Microlithography XXVIII, 2015, vol. 94261O.
    16. 16)
      • 23. Ke, X., Lv, W., Liu, S.: ‘Ant colony algorithm for layout decomposition in double/multiple patterning lithography’. 2015 China Semiconductor Technology Int. Conf. (CSTIC), 2015, pp. 13.
    17. 17)
    18. 18)
      • 34. Sato, K., ltoh, M., Sato, T.: ‘Mask 3D effect on 45 nm imaging using attenuated PSM’. Proc. SPIE 6520, 2007.
    19. 19)
    20. 20)
    21. 21)
      • 17. Kalaiselvi, S. M. P., Tan, T. L., Talebitaher, A., et al: ‘Neon soft X-ray lithography source based on low energy fast miniature plasma focus device’. 2014 IEEE 41st Int. Conf. on Plasma Sciences held with 2014 IEEE Int. Conf. on High-Power Particle Beams, pp. 112.
    22. 22)
    23. 23)
      • 61. Dey, S. K., Cheilaris, P., Casati, N., et al: ‘Topology and context-based pattern extraction using line-segment Voronoi diagram’. Proc. SPIE. 9427, Design-Process-Technology Co-optimization for Manufacturability IX, 2015, vol. 942706.
    24. 24)
      • 5. Arden, W., Brillouet, M., Cogez, P., et al: ‘More-than-Moore’. White Paper, Int. Roadmap Committee, ITRS, 2010.
    25. 25)
      • 50. Fontanelli, A., Arnone, L., Branca, R., et al: ‘Early addressing IC and package relationship allows an overall better quality of complex SOC’. Proc. Quality Electronic Design, ISQED 2000, 2000, pp. 121126.
    26. 26)
      • 11. Fomenkov, I. V., Ershov, A. I., Partlo, W.N., et al: ‘Laser produced plasma light source for EUVL’. Proc. SPIE Advanced Lithography, 2010.
    27. 27)
    28. 28)
      • 33. Yamamoto, N., Kye, J., Levinson, H. J..: ‘Mask topography effect with polarization at hyper-NA’. Proc. SPIE 6154, 2006.
    29. 29)
      • 26. Ban, Y., Lucas, K., Pan, D.: ‘Flexible 2D layout decomposition framework for spacer-type double pattering lithography’. 2011 48th ACM/EDAC/IEEE Design Automation Conf. (DAC), 2011, pp. 789794.
    30. 30)
    31. 31)
    32. 32)
    33. 33)
      • 3. Jeong, H., Markle, D. A., Owen, G., et al: ‘Optical projection system for gigabit random access memories’, J. Vac. Sci. Technol., 1995, 49, p. 713.
    34. 34)
    35. 35)
      • 13. The International Technology Roadmap for Semiconductors, ITRS, 2013.
    36. 36)
      • 51. Nassif, S.R.: ‘Technology modeling and characterization beyond the 45 nm node’. Design Automation Conf., 2008. ASPDAC 2008. Asia and South Pacific, 2008, p. 219.
    37. 37)
      • 54. Huang, C., Young, C., Liu, H., et al: ‘Using design based binning to improve defect excursion control for 45 nm production’. Int. Symp. on Semiconductor Manufacturing, 2007. ISSM 2007, 2007, pp. 13.
    38. 38)
      • 29. Mack, C.A.: ‘Corner rounding and round contacts’. The Lithography Expert (Summer 2000).
    39. 39)
      • 4. Matsuyama, T., Ohmura, Y., Williamson, D. M.: ‘Lithographic lens: its history and evolution’. Proc. SPIE Microlithography, 2006.
    40. 40)
    41. 41)
    42. 42)
    43. 43)
      • 18. The Promise of DSA Technology for Nanoscale Manufacturing’. The Institute for Molecular Engineering website, The University of Chicago.
    44. 44)
    45. 45)
      • 38. Schellenberg, F.M.: ‘Design for manufacturability in the semiconductor industry: the litho/design workshops’. Proc. Int. Conf. on VLSI Design, 1999, pp. 111119.
    46. 46)
      • 56. Luo, J., Su, Q., Chiang, C, et al: ‘A layout dependent full-chip copper electroplating topography model’. IEEE/ACM Int. Conf. on Computer-Aided Design, 2005. ICCAD-2005, 2005, pp. 133140.
    47. 47)
      • 24. Badr, Y., Ma, K-W., Gupta, P.: ‘Layout pattern-driven design rule evaluation’. Proc. SPIE 9053, Design-Process-Technology Co-optimization for Manufacturability VIII, 2014, 905307.
    48. 48)
      • 32. Eom, T.-S., Park, J.-T., Kang, J.-H., et al: ‘Comparative study of binary intensity mask and attenuated phase shift mask using hyper-NA immersion lithography for sub-45 nm era’. Proc. of SPIE, 2008, vol. 6924, 69240H.
    49. 49)
    50. 50)
    51. 51)
      • 6. Mack, C.A., Byers, J.D.: ‘Exploring the capabilities of immersion lithography through simulation’. Optical Microlithography XVII, SPIE, 2004, vol. 5377, pp. 428441.
    52. 52)
    53. 53)
    54. 54)
      • 1. Bruning, J.H.: ‘Optical lithography … 40 years and holding’, Proc. SPIE, 2007, 6520, pp. 652004:1652004:13.
    55. 55)
    56. 56)
    57. 57)
    58. 58)
    59. 59)
      • 35. Davydova, N., Setten, E. V., Kruif, R. D., et al: ‘Black border, mask 3D effects: covering challenges of EUV mask architecture for 22 nm node and beyond’. Proc. SPIE. 9231, 30th European Mask and Lithography Conf., 2014, vol. 923102.
    60. 60)
    61. 61)
      • 14. Wu, C-C., Yang, J., Wang, W-C., et al: ‘An instruction-based high-throughput lossless decompression algorithm for e-beam direct-write system’. Proc. SPIE 9423, Alternative Lithographic Technologies VII, 2015, vol. 94231P.
    62. 62)
      • 47. Xu, Y., Li, X., Hsiung, K-L., et al: ‘OPERA: optimization with ellipsoidal uncertainty for robust analog IC design’. Proc. 42nd Design Automation Conf., 2005, June 2005, pp. 632637.
    63. 63)
      • 31. Kachwala, N., Petersen, J. S., McCallum, M.: ‘High transmission attenuated PSM – benefits and limitations through a validation study of 33%, 20 and 6% transmission masks’. Optical Microlithography XIII, 2000, vol. 4000.
    64. 64)
      • 30. Schellenberg, F.M., Capodieci, L.: ‘Adoption of OPC and the impact on design and layout’. IEEE Proc. Design Automation Conf., 2001, pp. 8992.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2015.0325
Loading

Related content

content/journals/10.1049/iet-cds.2015.0325
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address