access icon free Co-design of micro-fluidic heat sink and thermal through-silicon-vias for cooling of three-dimensional integrated circuit

Three-dimensional integrated circuits (3D-ICs) bring about new challenges to chip thermal management because of their high heat densities. Micro-channel-based liquid cooling and thermal through-silicon-vias (TSVs) have been adopted to alleviate the thermal issues in 3D-ICs. Thermal TSV enables higher interlayer thermal conductivity thereby achieving a more uniform thermal profile. Although somewhat effective in reducing temperatures, they are limited by the nature of the heat sink. On the other hand, micro-channel-based liquid cooling is significantly capable of addressing 3D-IC cooling needs, but consumes a lot of extra power for pumping coolant through channels. This study proposes a hybrid 3D-IC cooling scheme which combines micro-channel liquid cooling and thermal TSV with one acting as heat removal agent, whereas the other enabling beneficial heat conduction paths to the micro-channel structures. The experimental results show that the proposed hybrid cooling scheme provides much better cooling capability than using only thermal TSVs, although consuming 56% less cooling power compared with pure micro-channel cooling.

Inspec keywords: cooling; thermal management (packaging); microfluidics; integrated circuit packaging; three-dimensional integrated circuits; thermal conductivity; heat sinks

Other keywords: integrated circuit cooling; microfluidic heat sink codesign; chip thermal management; thermal TSV; 3D integrated circuit; interlayer thermal conductivity; thermal profile; heat conduction; through-silicon-vias; microchannel based liquid cooling; heat removal agent

Subjects: Product packaging; Semiconductor integrated circuit design, layout, modelling and testing

References

    1. 1)
      • 9. Brunschwiler, T., Paredes, S., Drechsler, U., et al: ‘Heat-removal performance scaling of interlayer cooled chip stacks’. IEEE Intersociety Conf. Thermal and Thermomechanical Phenomena in Electronic Systems, 2010, pp. 112.
    2. 2)
      • 15. Yan, J.-T., Chang, Y.-C., Chen, Z.-W.: ‘Thermal via planning for temperature reduction in 3D ICs’. IEEE Int. SOC Conf., 2010, pp. 392395.
    3. 3)
      • 11. Shi, B., Srivastava, A., Wang, P.: ‘Non-uniform micro-channel design for stacked 3D-ICs’. Design Automation Conf., 2011, pp. 658663.
    4. 4)
      • 21. Jagota, A., Sanchis, L.A.: ‘Adaptive, restart, randomized greedy heuristics for maximum clique’, J. Heuristics, 2001, 7, pp. 565584 (doi: 10.1023/A:1011925109392).
    5. 5)
      • 14. Chiang, T.-Y., Banerjee, K., Saraswat, K.: ‘Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects’. IEEE Int. Electron Devices Meeting, IEDM Technical Digest, 2000, pp. 261264.
    6. 6)
      • 5. Tuckerman, D.B., Pease, R.F.W.: ‘High-performance heat sinking for VLSI’, IEEE Electron Device Lett., 1981, 2, pp. 126129 (doi: 10.1109/EDL.1981.25367).
    7. 7)
      • 3. Bakir, M., Huang, G., Sekar, D., King, C.: ‘3D system integration: power delivery, cooling, and signaling’, IETE Tech. Rev., 2009, 26, pp. 407416 (doi: 10.4103/0256-4602.57826).
    8. 8)
      • 7. Marques, C., Kelly, K.W.: ‘Fabrication and performance of a pin fin micro heat exchanger’, J. Heat Transf., 2004, 126, pp. 434444 (doi: 10.1115/1.1731341).
    9. 9)
      • 18. Mizunuma, H., Yang, C.L., Lu, Y.C.: ‘Thermal modeling for 3D-ICs with integrated microchannel cooling’. IEEE/ACM Int. Conf. Computer Aided Design, 2009, pp. 256263.
    10. 10)
      • 19. Shi, B., Srivastava, A., Bar-Cohen, A.: ‘Hybrid 3D-IC cooling system using micro-fluidic cooling and thermal TSVs’. IEEE Computer Society Annual Symp. Very Large Integrated Circuits (VLSI), 2012, pp. 3338.
    11. 11)
      • 20. Puttaswamy, K., Loh, G.H.: ‘Thermal analysis of a 3D die-stacked high performance microprocessor’. Proceedings of the 16th ACM Great Lakes Symp. Very Large Integrated Circuits (VLSI), 2006, pp. 1924.
    12. 12)
      • 12. Sabry, M., Coskun, A., Atienza, D., Rosing, T., Brunschwiler, T.: ‘Energy efficient multiobjective thermal control for liquid-cooled 3-D stacked architectures’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2011, 30, pp. 18831896 (doi: 10.1109/TCAD.2011.2164540).
    13. 13)
      • 22. Ibm-place 2.0 benchmark. In http://er.cs.ucla.edu/benchmarks/ibm-place2/.
    14. 14)
      • 23. Skadron, K., Stan, M.R., Sankaranarayanan, K., Huang, W., Velusamy, S., Tarjan, D.: ‘Temperature-aware microarchitecture: modeling and implementation’, ACM Trans. Archit. Code Optim., 2004, 1, pp. 94125 (doi: 10.1145/980152.980157).
    15. 15)
      • 10. Brunschwiler, T., Michel, B., Rothuizen, H., Kloter, U., Wunderle, B., Reichl, H.: ‘Hotspot-optimized interlayer cooling in vertically integrated packages’. Materials Research Society Fall Meeting, 2008.
    16. 16)
      • 16. Sridhar, A., Vincenzi, A., Ruggiero, M., Brunschwiler, T., Atienza, D.: ‘3D-ICE: fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling’. IEEE/ACM Int. Conf. Computer Aided Design, 2010, pp. 463470.
    17. 17)
      • 2. Goplen, B., Sapatnekar, S.: ‘Placement of thermal vias in 3-D ICs using various thermal objectives’, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2006, 25, pp. 692709 (doi: 10.1109/TCAD.2006.870069).
    18. 18)
      • 17. Koo, J.-M., Im, S., Jiang, L., Goodson, K.E.: ‘Integrated microchannel cooling for three-dimensional electronic circuit architectures’, ASME Trans. J. Heat Transf., 2005, 127, pp. 4958 (doi: 10.1115/1.1839582).
    19. 19)
      • 13. Kim, Y.J., Joshi, Y.K., Fedorov, Y.J., et al: ‘Thermal characterization of interlayer microfluidic cooling of three dimensional integrated circuits with nonuniform heat flux’, ASME Trans. J. Heat Transf., 2010, 132, pp. 12491258.
    20. 20)
      • 6. Senn, S., Poulikakos, D.: ‘Laminar mixing, heat transfer and pressure drop in tree-like microchannel nets and their application for thermal management in polymer electrolyte fuel cells’, J. Power Sources, 2004, 130, pp. 178191 (doi: 10.1016/j.jpowsour.2003.12.025).
    21. 21)
      • 8. Peles, Y., Kosar, A., Mishra, C., Kuo, C.-J., Schneider, B.: ‘Forced convective heat transfer across a pin fin micro heat sink’, Int. J. Heat Mass Transf., 2005, 48, pp. 36153627 (doi: 10.1016/j.ijheatmasstransfer.2005.03.017).
    22. 22)
      • 1. Cong, J., Zhang, Y.: ‘Thermal via planning for 3-D ICs’. IEEE/ACM Int. Conf. Computer Aided Design, 2005, pp. 744751.
    23. 23)
      • 4. Knight, R.W., Hall, D.J., Goodling, J.S., et al: ‘Heat sink optimization with application to microchannels’, IEEE Trans. Compon. Hybrids Manuf. Technol., 1992, 15, pp. 832842 (doi: 10.1109/33.180049).
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2013.0026
Loading

Related content

content/journals/10.1049/iet-cds.2013.0026
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading