access icon free Design of energy-efficient circuits and systems using tunnel field effect transistors

Energy efficiency is considered to be the most critical design parameter for ubiquitous and mobile computing systems. With consumers expecting improved functionality and performance from these systems without compromising on battery life, there is urgent need to explore emerging technologies that can overcome the limitations of CMOS and deliver greater energy efficiency. The potential of one such prospective metal oxide semiconductor field effect transistor replacement device, the tunnel FET (TFET), is evaluated in this study. Novel circuit designs are presented to overcome unique design challenges posed by TFETs. Further, the impact of TFETs at different levels of design abstraction is characterised by evaluating a novel sparse prefix tree adder and a field programmable gate array. A considerable improvement in delay and significant reduction in energy is observed because of the combined impact of circuit and technology co-exploration.

Inspec keywords: adders; tunnel transistors; MOSFET; field programmable gate arrays

Other keywords: ubiquitous computing systems; energy reduction; tunnel field effect transistors; energy-efficient circuit design; CMOS limitations; metal oxide semiconductor field effect transistor replacement device; mobile computing systems; battery life; field programmable gate array; sparse prefix tree adder; TFET; design abstraction level; tunnel FET

Subjects: Semiconductor device modelling, equivalent circuits, design and testing; Other field effect devices; Logic circuits

References

    1. 1)
      • 14. Rabaey, J.M., Chandrakasan, A., Nikolic, B.: ‘Digital integrated circuits – a design perspective‘ (Prentice-Hall, 2004, 2nd edn.).
    2. 2)
      • 19. Todman, T., Constantinides, G., Wilton, S., Mencer, O., Luk, W., Cheung, P.: ‘Reconfigurable computing: architectures and design methods’, IEE Proc. Comput. Digit. Tech., 2005, 152, (2), pp. 193207 (doi: 10.1049/ip-cdt:20045086).
    3. 3)
      • 18. SiliconBlue: ‘Ultra low-power ice FPGAs’. SiliconBlue White Paper, 02/2009 2009.
    4. 4)
      • 15. Mathew, S., Anders, M., Krishnamurthy, R., Borkar, S.: ‘A 4-GHz 130-nm address generation unit with 32-bit sparse-tree adder core’, IEEE J. Solid-State Circuits, 2003, 38, (5), pp. 689695 (doi: 10.1109/JSSC.2003.810056).
    5. 5)
      • 22. Lemieux, G., Lee, E., Tom, M., Yu, A.: ‘Directional and single-driver wires in FPGA interconnect’. Proc. 2004 IEEE Int. Conf. Field-Programmable Technology, 2004, December 2004, pp. 4148.
    6. 6)
      • 8. Singh, J., Ramakrishnan, K., Mookerjea, S., Datta, S., Vijaykrishnan, N., Pradhan, D.: ‘A novel si-tunnel fet based sram design for ultra low-power 0.3v vdd applications’. 2010 15th Asia and South Pacific Design Automation Conf. (ASP-DAC), January 2010.
    7. 7)
      • 9. Saripalli, V., Datta, S., Narayanan, V., Kulkarni, J.P.: ‘Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design’. IEEE Int. Symp. Nanoscale Architectures, 2011.
    8. 8)
      • 21. Chow, P., Seo, S.O., Rose, J., Chung, K., Paez-Monzon, G., Rahardja, I.: ‘The design of an SRAM-based field-programmable gate array – part I: architecture’, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 1999, 7, (2), pp. 191197 (doi: 10.1109/92.766746).
    9. 9)
      • 20. Betz, V., Rose, J., Marquardt, A.: ‘Architecture and CAD for deep-submicron FPGAs’ (Kluwer Academic Publishers, Norwell, MA, USA, 1999).
    10. 10)
      • 1. Rabaey, J.: ‘Low power design essentials’ (Integrated Circuits Land Systems, Springer, 2009).
    11. 11)
      • 11. Swaminathan, K., Kultursay, E., Saripalli, V., Narayanan, V., Kandemir, M.T., Datta, S.: ‘Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores’. Proc. ACM/IEEE Int. Symp. Low Power Electronics And Design, 2011.
    12. 12)
      • 7. Kim, D., Lee, Y., Cai, J., et al: ‘Low power circuit design based on heterojunction tunneling transistors (HETTs)’. Proc. ACM/IEEE Int. Symp. Low Power Electronics and Design, 2009.
    13. 13)
      • 16. ‘Verilog – a models for tunnel fets’. Available at http://www.ndcl.ee.psu.edu/downloads.asp.
    14. 14)
      • 10. Saripalli, V., Mishra, A.K., Datta, S., Narayanan, V.: ‘An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores’ (DAC, 2011).
    15. 15)
      • 3. Horowitz, M., Alon, E., Patil, D., Naffziger, S., Kumar, R., Bernstein, K.: ‘Scaling, power, and the future of cmos’. IEEE Int. Electron Devices Meeting, 2005 (IEDM Technical Digest), December 2005.
    16. 16)
      • 25. Jamieson, P., Luk, W., Wilton, S., Constantinides, G.: ‘An energy and power consumption analysis of FPGA routing architectures’. Int. Conf. Field-Programmable Technology, 2009 (FPT 2009), December 2009, pp. 324327.
    17. 17)
      • 13. Kultursay, E., Swaminathan, K., Saripalli, V., Datta, S., Narayanan, V., Kandemir, M.T.: ‘Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores’. IEEE/ACM Int. Conf. Hardware/Software Codesign and System Synthesis, 2012.
    18. 18)
      • 24. Kuon, I., Rose, J.: ‘Quantifying and exploring the gap between FPGAs and ASICs’ (Springer, 2009).
    19. 19)
      • 6. Seabaugh, A., Zhang, Q.: ‘Low-voltage tunnel transistors for beyond CMOS logic’, Proc. IEEE, 2010, 98, (12), pp. 20952110 (doi: 10.1109/JPROC.2010.2070470).
    20. 20)
      • 23. Kuon, I., Rose, J.: ‘Exploring area and delay tradeoffs in FPGAs with architecture and automated transistor design’, IEEE Trans. VLSI Syst., 2011, 19, (1), pp. 7184 (doi: 10.1109/TVLSI.2009.2031318).
    21. 21)
      • 12. Verhulst, A.S., Vandenberghe, W.G., Leonelli, D., et al: ‘Tunnel field-effect transistors for future low-power nano-electronics’, ECS Trans., 2009, 25, (7), pp. 455462 (doi: 10.1149/1.3203983).
    22. 22)
      • 4. Bernstein, K., Cavin, R., Porod, W., Seabaugh, A., Welser, J.: ‘Device and architecture outlook for beyond CMOS switches’, Proc. IEEE, 2001, 98, (12), pp. 21692184 (doi: 10.1109/JPROC.2010.2066530).
    23. 23)
      • 26. Yang, S.: ‘Logic synthesis and optimization benchmarks user guide version 3.0’. MCNC Int. Workshop on Logic Synthesis, Corporate Communications, North Carolina, 1991.
    24. 24)
      • 17. Belhadj, H., Aggrawal, V., Pradhan, A., Zerrouki, A.: ‘Power-aware FPGA design’. Actel White Paper, 02/2009 2009.
    25. 25)
      • 2. Taur, Y., Ning, T.H.: ‘Fundamentals of modern VLSI devices’ (Cambridge University Press, 2009, 2nd edn.).
    26. 26)
      • 5. Hu, C.: ‘Green transistor as a solution to the ic power crisis’. Proc. Ninth Int. Conf. Solid-State and Integrated-Circuit Technology, 2008 (ICSICT 2008), October 2008.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cds.2012.0387
Loading

Related content

content/journals/10.1049/iet-cds.2012.0387
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading